FPGA时钟分频:偶数分频、奇数分频、小数分频(含verilog源码)-程序员宅基地

技术标签: fpga开发  

前言

  FPGA分频器是一种常用于数字信号处理、通信系统、雷达系统等领域的电路,其作用是将信号时钟分成多个频频率。分频电路是数字电路中常见的逻辑电路类型。在时序逻辑电路中,时钟是必不可少的,但对于时钟要求不高的基本设计,自行设计的分频电路,也就是时钟分频器,有时候比采用外部PLL更为简单、有效、快速。本文将详细介绍FPGA分频器的设计方法,并附上Verilog代码示例,以供参考。

  分频器一般可以分为:偶数分频、奇数分频、小数分频。

1、偶数分频

(1)用D触发器级联实现

  将主时钟以2为幂次进行分割可以得到同步偶数分频时钟,即21,22,23…分频。电路上可采用D触发器实现,n个触发器可以构成2n次偶数分频。如图1所示,为2分频、4分频电路设计及波形。

(a)2分频电路及波形

(b)4分频电路及波形
图1 偶数分频电路设计

(2)用计数器实现

  用D触发器级联搭建分频电路只能实现2,4,8,16等分频,对于一般的偶数分频,可以通过计数器实现:若要实现N分频(N为偶数),只需将计数器在待分频时钟上升沿触发下循环计数,从0计数到(N/2 -1)后将输出时钟翻转即可实现。代码见工程Even_clk_freq_div。仿真图和代码如下:

	//N为偶数分频的值,实现50%占空比的偶数分频
	parameter N = 6;
	
	reg [2:0] cnt;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)begin
			cnt <= 3'd0;
			clk_out <= 1'b0;
		end
		else if (cnt == (N-1)/2)begin
			cnt <= 3'd0;
			clk_out <= ~clk_out;
		end
		else
			cnt <= cnt + 1'b1;

2、奇数分频

(1)占空比非50%的奇数分频

① 用Moore状态机实现

  以7分频为例,通过如图2所示Moore状态机即可实现输入时钟的7分频。

图2 7分频电路Moore状态机

  值得注意的是,上图实现的7分频的占空比并非50%。代码见工程Odd_clk_freq_div_Moore。仿真和代码截图如下:

parameter 	state_0 = 3'd0,
				state_1 = 3'd1,
				state_2 = 3'd2,
				state_3 = 3'd3,
				state_4 = 3'd4,
				state_5 = 3'd5,
				state_6 = 3'd6;
				
	reg [2:0] State,next_state;	
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			State <= state_0;
		else
			State <= next_state;
			
	always @(*)
		if (!rst_n)
			next_state <= state_0;
		else begin
			case (State)
				state_0	:	next_state = state_1;
				state_1	:	next_state = state_2;
				state_2	:	next_state = state_3;
				state_3	:	next_state = state_4;
				state_4	:	next_state = state_5;
				state_5	:	next_state = state_6;
				state_6	:	next_state = state_0;
				default	:	next_state = state_0;
			endcase
		end
		
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_out <= 1'b0;
		else begin
			case(next_state)
				state_0	:	clk_out <= 1'b0;
				state_1	:	clk_out <= 1'b0;
				state_2	:	clk_out <= 1'b0;
				state_3	:	clk_out <= 1'b0;
				state_4	:	clk_out <= 1'b1;
				state_5	:	clk_out <= 1'b1;
				state_6	:	clk_out <= 1'b1;
				default	:	clk_out <= 1'b0;
			endcase
		end

② 用计数器实现

  其实用状态机实现占空比非50%的分频电路还是比较麻烦的,可以采用计数器的方法:若要实现N分频(N为奇数),只需将计数器在待分频时钟上升沿触发下循环计数,从0计数到(N-1)后计数器清零。当计数到(N-1)/2后将输出时钟翻转,计数到0后再次翻转即可实现。代码见工程Odd_clk_freq_div0。仿真和代码如下:

 //N为奇数分频的值,实现非50%占空比的奇数分频
	parameter N = 7;
	
	reg [2:0] cnt;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt <= 3'd0;
		else if (cnt == (N-1))
			cnt <= 3'd0;
		else
			cnt <= cnt + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_out <=1'b0;
		else if (cnt == (N-1)/2)
			clk_out <= ~clk_out;
		else if (cnt == 3'b0)
			clk_out <= ~clk_out;
		else
			clk_out <= clk_out;

(2)占空比50%的奇数分频

  对于奇数分频,就是分别利用待分频时钟的上升沿触发生成一个时钟,然后用下降沿触发生成另一个时钟,然后将两个时钟信号进行或/与运算得到占空比为50%的奇数分频。上面一小节已经介绍了如何利用待分频时钟的上升沿触发生成占空比非50%的时钟,只需再类似地利用待分频时钟的下降沿触发生成占空比非50%的时钟。具体方法详述如下:

  ① 设计2个分别用上升、下降沿触发的计数器
定义2个计数器cnt_p和cnt_n,分别利用时钟的上升沿和下降进行触发计数

  ② 利用上升、下降沿计数器生成两个分频时钟clk_p和clk_n
定义2个时钟信号clk_p和clk_n, 对于上升沿计数器cnt_p,当计数到0或者(N-1)/2时,均翻转clk_p信号;对于下降沿计数器cnt_n,当计数到0或者(N-1)/2时,均翻转clk_n信号。

  ③ 利用clk_p和clk_n通过逻辑运算生成占空比为50%的分频时钟
若clk_p和clk_n初始复位为0,将2个时钟clk_p和clk_n通过或运算即可生成占空比为50%的分频时钟,且clk_out上升沿和原时钟上升沿对齐。代码见工程Odd_clk_freq_div1。仿真截图和代码如下:

//N为奇数分频的值,实现50%占空比的奇数分频
	parameter N = 7;
	reg [2:0] cnt_p, cnt_n;
	reg	clk_p;
	reg	clk_n;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt_p <= 3'd0;
		else if (cnt_p == (N-1))
			cnt_p <= 3'd0;
		else
			cnt_p <= cnt_p + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_p <=1'b0;
		else if (cnt_p == (N-1)/2)
			clk_p <= ~clk_p;
		else if (cnt_p == 3'b0)
			clk_p <= ~clk_p;
		else
			clk_p <= clk_p;
			
	//将clk_p打半拍得到clk_n
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt_n <= 3'd0;
		else if (cnt_n == (N-1))
			cnt_n <= 3'd0;
		else
			cnt_n <= cnt_n + 1'b1;
			
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_n <=1'b0;
		else if (cnt_n == (N-1)/2)
			clk_n <= ~clk_n;
		else if (cnt_n == 3'b0)
			clk_n <= ~clk_n;
		else
			clk_n <= clk_n;	
			
	assign clk_out = clk_p | clk_n;		              

详细的波形图如图3所示:

图3 占空比为50%的7分频电路波形生成

  还有一种更简便的方法只需要一个计数器cnt_p就可以,通过cnt_p产生clk_p时钟,然后直接用待分频时钟下降沿对时钟clk_p打半拍得到时钟clk_n,最后将clk_p和clk_n相或就可以得到占空比为50%的7分频时钟。代码见工程Odd_clk_freq_div,个人比较推荐这种写法,里面涉及到对信号打半拍,理解到了对学习FPGA大有帮助。下面是仿真结果图和代码截图。

//N为奇数分频的值,实现50%占空比的奇数分频
	parameter N = 7;
	
	reg [2:0] cnt;
	reg	clk_p;
	reg	clk_n;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt <= 3'd0;
		else if (cnt == (N-1))
			cnt <= 3'd0;
		else
			cnt <= cnt + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_p <=1'b0;
		else if (cnt == (N-1)/2)
			clk_p <= ~clk_p;
		else if (cnt == 3'b0)
			clk_p <= ~clk_p;
		else
			clk_p <= clk_p;
			
	//将clk_p打半拍得到clk_n
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_n <=1'b0;
		else
			clk_n <= clk_p;
			
	assign clk_out = clk_p | clk_n;

(3)利用基本逻辑单元直接搭建占空比为50%的奇数分频电路

  上面我们从波形生成方法及Verilog实现的角度思考了如何设计占空比为50%的奇数分频电路,这里我们直接从电路角度出发进行设计。首先思考如何用D触发器和组合逻辑实现占空比为50%的三分频电路?思路:先使用触发器构成序列生成器,输出001循环脉冲,实现占空比非50%的三分频,然后用负沿触发器打一拍,再相或。由于001循环共三个状态,故需2个D触发器。通过列状态表、画卡诺图,得到由两个D触发器及逻辑门构成的001序列生成器,后接负沿触发器打一拍并将其输出与序列生成器的输出相或,即得到占空比为50%的三分频电路。下面贴出三分频和五分频电路的简略设计思路及过程仅供参考,如图4、图5所示。

图4 三分频电路设计思路及过程

图5 五分频电路设计思路及过程

3、小数/分数分频

  小数分频电路可以转化为特定分频比电路设计问题。如19/9分频,意味着在输入时钟clk_in的19个周期内,输出需产生9个脉冲。因为19/9 = 2.11…, 因此可以用2分频和3分频配合实现,设待分频时钟的19个周期内共有x个二分频时钟周期,y个三分频时钟周期,则有:
x + y = 9 x+y=9 x+y=9
2 x + 3 y = 19 2x+3y=19 2x+3y=19
  解得 x = 8 , y = 1 x=8,y=1 x=8y=1。即只要在待分频时钟的19个周期内控制输出8个二分频时钟周期和1个三分频时钟周期即可。具体代码思路:

  1)首先一个总的计数器,在0-18循环;

  2)其次设计两个分别生成2分频和3分频的计数器,根据总计数器的数值范围分别在0-1和0-2循环;

  3)最后是波形生成逻辑,根据总计数器和2、3分频计数器的数值控制输出脉冲翻转生成期望分频比的时钟。

 reg [5:0] cnt;
	reg [3:0] cnt_a;
	reg [3:0] cnt_b;
	reg clk_out_reg;

	assign clk_out = clk_out_reg; 
	
	// div_a和div_b分别为根据文档公式计算出来的基准分频系数
	// change为2、3分频时钟的切换点
	parameter M = 5'd19;
	parameter change = 5'd16;
	parameter div_a = 5'd2;
	parameter div_b = 5'd3;
	
	//总计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			cnt <= 6'b0;
		else begin
			if(cnt == M - 1'b1)
				cnt <= 6'b0;
			else
				cnt <= cnt + 1'b1;
		end 

	
	//产生2、3分频的计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n) begin
			cnt_a <= 4'b0;
			cnt_b <= 4'b0;
		end 
		else if(cnt <= change - 1'b1) begin
			cnt_b <= 4'd0;
			if(cnt_a == div_a - 1'b1)
				cnt_a <= 4'd0;
			else
				cnt_a <= cnt_a + 1'b1;
		end 
		else if(cnt > change - 1'b1) begin
			cnt_a <= 4'd0;
			if(cnt_b == div_b - 1'b1)
				cnt_b <= 4'd0;
			else
				cnt_b <= cnt_b + 1'b1;
		end
	
	//输出时钟产生逻辑
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			clk_out_reg <= 1'b0;
		else if(cnt < change) begin
			if(cnt_a == 4'd0 || cnt_a == div_a/2)
				clk_out_reg <= ~clk_out_reg;
			else
				clk_out_reg <= clk_out_reg;
		end 
		else if(cnt >= change) begin
			if(cnt_b == 4'd0 || cnt_b == (div_b - 1'b1)/2)
				clk_out_reg <= ~clk_out_reg;
			else
			clk_out_reg <= clk_out_reg;
		end 

  上面代码的后段3分频是占空比非50%的,若要实现占空比50%,我们同样可以采取打半拍的方式并通过逻辑运算获得。代码和仿真结果如下,为了更好提现,这里实现20/9分频,通过公式计算得出在20个待分频时钟周期内,应该产生7个二分频脉冲和2个三分频脉冲。工程为Dec_Freq_Div_M_N。

  reg [5:0] cnt;
	reg [3:0] cnt_a;
	reg [3:0] cnt_b;
	reg clk_out_p;
	reg clk_out_n;
	assign clk_out = (cnt <= change) ? clk_out_p : (clk_out_p | clk_out_n); //产生占空比为50%的时钟
	
	// div_a和div_b分别为根据文档公式计算出来的基准分频系数
	// change为2、3分频时钟的切换点
	parameter M = 5'd20;
	parameter change = 5'd14;
	parameter div_a = 5'd2;
	parameter div_b = 5'd3;
	
	//总计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			cnt <= 6'b0;
		else begin
			if(cnt == M - 1'b1)
				cnt <= 6'b0;
			else
				cnt <= cnt + 1'b1;
		end 

	
	//产生2、3分频的计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n) begin
			cnt_a <= 4'b0;
			cnt_b <= 4'b0;
		end 
		else if(cnt <= change - 1'b1) begin
			cnt_b <= 4'd0;
			if(cnt_a == div_a - 1'b1)
				cnt_a <= 4'd0;
			else
				cnt_a <= cnt_a + 1'b1;
		end 
		else if(cnt > change - 1'b1) begin
			cnt_a <= 4'd0;
			if(cnt_b == div_b - 1'b1)
				cnt_b <= 4'd0;
			else
				cnt_b <= cnt_b + 1'b1;
		end
	
	//输出时钟产生逻辑
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			clk_out_p <= 1'b0;
		else if(cnt < change) begin
			if(cnt_a == 4'd0 || cnt_a == div_a/2)
				clk_out_p <= ~clk_out_p;
			else
				clk_out_p <= clk_out_p;
		end 
		else if(cnt >= change) begin
			if(cnt_b == 4'd0 || cnt_b == (div_b - 1'b1)/2)
				clk_out_p <= ~clk_out_p;
			else
			clk_out_p <= clk_out_p;
		end 
	//打半拍
		always @(negedge clk_in or negedge rst_n)
			if(!rst_n)
				clk_out_n <= 1'b0;
			else
				clk_out_n <= clk_out_p;

下图是本文的文件截图,有需要的话点击下面的链接获取:

FPGA完整工程和源码分享,点此跳转获取完整工程和源码

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/xxqlover/article/details/137999398

智能推荐

HTML5 Web SQL 数据库_方式准则的定义-程序员宅基地

文章浏览阅读1k次。1、HTML5 Web SQL 数据库 Web SQL 数据库 API 并不是 HTML5 规范的一部分,但是它是一个独立的规范,引入了一组使用 SQL 操作客户端数据库的 APIs。如果你是一个 Web 后端程序员,应该很容易理解 SQL 的操作。Web SQL 数据库可以在最新版的 Safari, Chrome 和 Opera 浏览器中工作。2、核心方法 以下是规范中定义的三个_方式准则的定义

spring Boot 中使用线程池异步执行多个定时任务_springboot启动后自动开启多个线程程序-程序员宅基地

文章浏览阅读4.1k次,点赞2次,收藏6次。spring Boot 中使用线程池异步执行多个定时任务在启动类中添加注解@EnableScheduling配置自定义线程池在启动类中添加注解@EnableScheduling第一步添加注解,这样才会使定时任务启动配置自定义线程池@Configurationpublic class ScheduleConfiguration implements SchedulingConfigurer..._springboot启动后自动开启多个线程程序

Maven编译打包项目 mvn clean install报错ERROR_mvn clean install有errors-程序员宅基地

文章浏览阅读1.1k次。在项目的target文件夹下把之前"mvn clean package"生成的压缩包(我的是jar包)删掉重新执行"mvn clean package"再执行"mvn clean install"即可_mvn clean install有errors

navacate连接不上mysql_navicat连接mysql失败怎么办-程序员宅基地

文章浏览阅读974次。Navicat连接mysql数据库时,不断报1405错误,下面是针对这个的解决办法:MySQL服务器正在运行,停止它。如果是作为Windows服务运行的服务器,进入计算机管理--->服务和应用程序------>服务。如果服务器不是作为服务而运行的,可能需要使用任务管理器来强制停止它。创建1个文本文件(此处命名为mysql-init.txt),并将下述命令置于单一行中:SET PASSW..._nvarchar链接不上数据库

Python的requests参数及方法_python requests 参数-程序员宅基地

文章浏览阅读2.2k次。Python的requests模块是一个常用的HTTP库,用于发送HTTP请求和处理响应。_python requests 参数

近5年典型的的APT攻击事件_2010谷歌网络被极光黑客攻击-程序员宅基地

文章浏览阅读2.7w次,点赞7次,收藏50次。APT攻击APT攻击是近几年来出现的一种高级攻击,具有难检测、持续时间长和攻击目标明确等特征。本文中,整理了近年来比较典型的几个APT攻击,并其攻击过程做了分析(为了加深自己对APT攻击的理解和学习)Google极光攻击2010年的Google Aurora(极光)攻击是一个十分著名的APT攻击。Google的一名雇员点击即时消息中的一条恶意链接,引发了一系列事件导致这个搜_2010谷歌网络被极光黑客攻击

随便推点

微信小程序api视频课程-定时器-setTimeout的使用_微信小程序 settimeout 向上层传值-程序员宅基地

文章浏览阅读1.1k次。JS代码 /** * 生命周期函数--监听页面加载 */ onLoad: function (options) { setTimeout( function(){ wx.showToast({ title: '黄菊华老师', }) },2000 ) },说明该代码只执行一次..._微信小程序 settimeout 向上层传值

uploadify2.1.4如何能使按钮显示中文-程序员宅基地

文章浏览阅读48次。uploadify2.1.4如何能使按钮显示中文博客分类:uploadify网上关于这段话的搜索恐怕是太多了。方法多也试过了不知怎么,反正不行。最终自己想办法给解决了。当然首先还是要有fla源码。直接去管网就可以下载。[url]http://www.uploadify.com/wp-content/uploads/uploadify-v2.1.4...

戴尔服务器安装VMware ESXI6.7.0教程(U盘安装)_vmware-vcsa-all-6.7.0-8169922.iso-程序员宅基地

文章浏览阅读9.6k次,点赞5次,收藏36次。戴尔服务器安装VMware ESXI6.7.0教程(U盘安装)一、前期准备1、下载镜像下载esxi6.7镜像:VMware-VMvisor-Installer-6.7.0-8169922.x86_64.iso这里推荐到戴尔官网下载,Baidu搜索“戴尔驱动下载”,选择进入官网,根据提示输入服务器型号搜索适用于该型号服务器的所有驱动下一步选择具体类型的驱动选择一项下载即可待下载完成后打开软碟通(UItraISO),在“文件”选项中打开刚才下载好的镜像文件然后选择启动_vmware-vcsa-all-6.7.0-8169922.iso

百度语音技术永久免费的语音自动转字幕介绍 -程序员宅基地

文章浏览阅读2k次。百度语音技术永久免费的语音自动转字幕介绍基于百度语音技术,识别率97%无时长限制,无文件大小限制永久免费,简单,易用,速度快支持中文,英文,粤语永久免费的语音转字幕网站: http://thinktothings.com视频介绍 https://www.bilibili.com/video/av42750807 ...

Dyninst学习笔记-程序员宅基地

文章浏览阅读7.6k次,点赞2次,收藏9次。Instrumentation是一种直接修改程序二进制文件的方法。其可以用于程序的调试,优化,安全等等。对这个词一般的翻译是“插桩”,但这更多使用于软件测试领域。【找一些相关的例子】Dyninst可以动态或静态的修改程序的二进制代码。动态修改是在目标进程运行时插入代码(dynamic binary instrumentation)。静态修改则是直接向二进制文件插入代码(static b_dyninst

在服务器上部署asp网站,部署asp网站到云服务器-程序员宅基地

文章浏览阅读2.9k次。部署asp网站到云服务器 内容精选换一换通常情况下,需要结合客户的实际业务环境和具体需求进行业务改造评估,建议您进行服务咨询。这里仅描述一些通用的策略供您参考,主要分如下几方面进行考虑:业务迁移不管您的业务是否已经上线华为云,业务迁移的策略是一致的。建议您将时延敏感型,有快速批量就近部署需求的业务迁移至IEC;保留数据量大,且需要长期稳定运行的业务在中心云上。迁移方法请参见如何计算隔离独享计算资源..._nas asp网站