SPI Master IP核项目简介(功能点分析、模块架构图、寄存器设计)_spi ip核-程序员宅基地

技术标签: APB-SPI Master配置接口—项目实战  spi  

一、APB_SPI项目介绍

1.1.SPI IP核

  数据接收和发送是SPI IP 核最基本的功能。嵌入式CPU通过系统总线对SPI IP核内部模块进行数据的读写、模块功能的控制等操作,SPI IP 核则支持CPU和外设之间按照SPI协议进行通信,进而实现两者之间的数据交换。
  基于某种总线的SPI IP核着重研究内部功能模块,通过有限状态机去控制主机和从机、主机和CPU 之间的通信。本项目基于APB总线的SPI IP核实现了双工通信、支持8位数据、中断功能等功能。

1.2.SPI系统结构

  SPI(Serial Peripheral Interface)协议是一种高速高效率、全双工的通信总线,允许CPU与低速的外围设备之间进行同步串行数据的传输,主要是用同步的时钟信号对串行的数据同时进行发送和接收操作,从而实现全双工

  SPI接口在芯片内部只占用四根线,主要有两条数据线、一根片选线和一根时钟信号线。这四根线分别对应SPI协议传输时的四种信号,分别有主机输出信号MOSI、从机输入信号MISO、片选信号SS_n以及输出的时钟信号SCK。

  SPI协议里定义了主模式和从模式两种工作方式,在协议里规定工作在主模式下的模块是主机,工作在从模式下的模块是从机。主机和从机之间按照SPI时序要求进行数据交换。一个典型的SPI系统应包括一个主机、一个或多个从机。该项目的APB_SPI IP 核支持主机、从机两种工作方式,下图1是主机为CPU,接口采用APB总线接口的SPI系统结构图

在这里插入图片描述
  嵌入式 CPU通过APB、SPI 接口与外设进行数据通信,当工作在主模式时,嵌入式CPU 可以通过片选信号SS_n,选择从机进行通信,工作从模式时,则等待被主机选中进行通信。SPI通信协议的实质就是主机和从机之间交换数据,主机发送一个数据的同时,必然也会收到一个数据,从机接收一个数据必须也要先发一个数据。因此,SPI只有主模式和从模式之分,没有读写数据的说法。在任何时间里SPI系统都只支持单主机操作,否则会发生系统错误。

1.3.SPI传输模式

  SPI总线上的数据传输由串行时钟SCK进行同步处理,串行时钟SCK由SPI主机产生,每个时钟周期仅发送1bit数据。在SPI协议中规定CPOL(时钟极性)和CPHA(时钟相位)两个控制位。CPOL和CPHA的不同组合代表了SPI数据的四种传输模式,主要有:

  • SPI0:时钟极性和时钟相位均为0;
  • SPI1:时钟极性为0,时钟相位为1;
  • SPI2:时钟极性为1,时钟相位为0;
  • SPI3:时钟极性和时钟相位均为1;

  这四种传输模式,使用最广泛的是SPI0和SPI3模式。在使用SPI系统的时候,可根据数据传输的要求,通过对时钟极性和时钟相位寄存器位的配置就可以得到所需的传输模式。不同模式下的时序图参考SPI协议详解。

  此外,SPI数据传输方式还有MSB传输和LSB传输两种。MSB传输表明主机和从机首先传输数据的最高位,LSB恰恰相反,主机和从机首先传输数据的最低位。在SPI系统寄存器里需要设置相应的寄存器位,通过配置寄存器来决定数据传输的方式。

二、SPI结构与寄存器设计

2.1.功能点概述

  SPI IP核的基本功能是实现数据传输,即在同步串行时钟的触发下,根据用户配置的信息,串行发送和接收数据。本项目APB_SPI IP核实现功能具体如下:

  1. 全双工同步串行操作完成数据通信;
  2. 支持AMBA协议总线接口
  3. 通过寄存器配置实现单次传输信息量可变,最多支持128bit;
  4. 可配置先发最高位(MSB)或者最低位(LSB)
  5. 可配置收/发数据在上升沿或者下降沿,MOSI与MISO互不影响;
  6. 可选择8个从设备(8条选择信号);
  7. 设计工作在一个时钟域(严格说需区分PCLK,SCK);

  当模块工作在主机模式下时,SPI IP 核按如图2所示和从机模块连接。

在这里插入图片描述
   当模块工作在从机模式下时,SPI IP核按如图3所示和主机模块连接。
在这里插入图片描述

2.2.APB_SPI IP核功能模块划分(重要)

  完成IP核整体设计后,下一步目标是根据功能需求将IP核顶层模块划分为若干个功能块,图4所示为APB_SPI IP核内部模块划分结构图。
在这里插入图片描述
简化版如下:
在这里插入图片描述

  在模块划分结构图中,输出信号则包括配置主模块时输出的片选信号SS_n_out,以及发送模块输出信号MOSI输入信号包括配置为从机时的片选信号、从机的时钟极性、时钟相位信号以及接收模块的输入信号MISO,IP核内部各个功能模块实现功能目标如下:

  1)、APB接口模块:主要负责APB总线和SPI模块通信,需要对APB总线信号进行译码,使得APB 总线的读写命令能够正确被SPI模块接收并执行,APB接口模块存储着IP核寄存器的地址信息,控制着寄存器的读写
  2)、寄存器模块:存放着IP核重要的配置信息。CPU可通过寄存器模块实现对IP核的功能配置和状态控制,使用IP核前必须先配置该模块
  3)、发送FIFO:是数据深度、位宽均可配置的先进先出缓存,用来缓存 CPU通过APB总线发送过来的数据,直到发送模块将其读出,可以使CPU一次性写入数
据传输的全部数据,提高CPU工作效率。
  4)、接收FIFO:是数据深度、位宽均可配置的先进先出缓存,用来存储 SPI从机发送的数据,直到CPU通过总线将其一次性读出。
  5)、发送模块:因为模块可配置成SPI主/从模式,所以,发送模块里面含有主机发送子模块和从机发送子模块。配置为主机时,主机发送模块负责把APB总线写入发送FIFO的数据读出,并且进行数据并转串操作,按照SPI数据传输时序发送给SPI从机,此时从机发送模块不工作。配置从机模式时,从机发送模块仍需要将写入发送FIFO的数据读出,并进行数据的并转串操作,然后,在主机发送的串行时钟触发下,按照SPI数据传输时序发送给SPI主机。
  6)、接收模块:因为模块可配置为SPI主/从模式,所以,接收模块既有主机接收子模块,也有从机接收子模块。配置为主机时,主机接收子模块将SPI从机发送过来的串行数据转换成并行数据,然后写入到接收FIFO中,以便CPU通过APB总线读出数据。配置为从机时,从机接收子模块在主机发送的串行时钟的触发下,将发送过来的串行数据转换成并行数据,写入到接收FIFO中。
  7)、中断请求模块:负责产生数据传输过程中的中断请求信号,有六种独立的中断请求信号,分别有发送FIFO空中断信号、发送FIFO满中断信号、接收FIFO空中断信号、接收FIFO满中断信号、多主竞争中断信号和主从配置不一致中断信号,中断请求模块会产生一个综合的中断请求信号,是这六种中断请求信号进行或逻辑操作的结果,发送给CPU。

2.3.寄存器模块设计

  SPI IP核的状态转换、功能选择、数据传输都是通过配置相应的寄存器得以实现的,寄存器模块的正确设计对IP核实现功能至关重要。根据功能需要将寄存器分为以下几种:
在这里插入图片描述

  IP核内部划分了寄存器模块,寄存器模块存放着IP核所有的寄存器,使用IP核之前必须对寄存器模块进行配置,否则IP核无法正常工作。在APB接口模块中为每个寄存器分配了地址,当CPU发送的地址与寄存器模块中的寄存器匹配上后,数据就按照APB写操作时序写入了对应的寄存器中。从而控制整个IP核工作的过程。

  下面说明SPI IP 核内部寄存器模块中寄存器的具体信息。

  1)、控制寄存器CTRL,存储着数据传输过程中的控制信息。详细说明如表:
在这里插入图片描述
  2)、 数据发送寄存器TX:存储下一次传输将要发送的数据,发送数据长度受控制寄存器中的CHAR_LEN域段控制
在这里插入图片描述

  • 若有效数据长度[1:32],则TX1、TX2、TX3无效;
  • 若有效数据长度[33:64],则TX2、TX3无效;
  • 若有效数据长度[65:96],则TX3无效;
  • 若有效数据长度[97:128],则TX0~TX3全有效;
  • TX0~TX3的默认值为0X0000_0000;

  3)、 数据接收寄存器RX:存储最后一次传输收到的数据,接收数据长度受控制寄存器中的CHAR_LEN域段控制
在这里插入图片描述

  • 若有效数据长度[1:32],则RX1、RX2、RX3无效;
  • 若有效数据长度[33:64],则RX2、RX3无效;
  • 若有效数据长度[65:96],则RX3无效;
  • 若有效数据长度[97:128],则RX0~RX3全有效;
  • RX0~RX3的默认值为0X0000_0000;

  4)、时钟分频寄存器DIVIDER:SPI时钟与系统时钟具有一定关系,fspi = fsystem/((DIVIDER+1)*2),分频系数DIVIDER默认为0。
在这里插入图片描述
  5)、从设备选择寄存器SS:

  • 若控制寄存器ASS域段为0,则向SS某bit写1,则对应bit拉低(使能),向某bit写0,则对应bit拉高(释放);
  • 若控制寄存器ASS域段为1,则向SS寄存器某bit写1,当传输启动后(GO_BSY使能),设计(DUT)自动将对应bit拉低(使能),完成传输后,再自动将对应bit拉高(释放);

在这里插入图片描述

2.4.APB接口模块设计

  APB 接口模块是IP核与CPU连接的重要模块,CPU可以通过它对IP核执行一系列操作,例如配置IP核寄存器信息、写入数据、接收数据、读取寄存器中状态信息等。APB接口模块的主要功能有定义了寄存器模块中各个寄存器的地址信息,CPU可以通过接口模块对相应的寄存器进行读写操作,产生符合APB总线的写入数据时序,按照这个时序对发送FIFO写入数据,也产生符合APB总线的读取时序,CPU可以按照这个时序从接收FIFO中读取数据。
  APB接口模块实现对寄存器读写功能的具体过程:将各个寄存器的地址保存在相应的地址常量中,例如控制寄存器CTRL的地址就保存在SPICTRL_ADDR 常量中。APB HOST要配置IP核寄存器信息时,APB接口模块会将地址总线发送的地址信息与存储寄存器地址的常量进行比较。当地址信息与某个寄存器地址常量比对上后,APB接口模块会生成该寄存器的写入使能信号,将写使能信号与写数据总线上的数据一起送到寄存器模块中,从而完成对该寄存器的配置。读取寄存器信息,需要执行相同的操作,不同的是接口模块会产生读取使能,发送给APB HOST的数据信息会滞后读取使能一个时钟周期,这也完全符合APB总线的读数据时序。
   APB接口模块实现发送/接收数据功能的具体过程:在接口模块里对发送/接收FIFO定义了地址,把地址赋给了常量。当APB HOST要执行读写功能时,APB接口模块会根据APB地址总线信号进行寻址,并根据相应的读写命令,读取或发送数据到相应 FIFO中去,从而完成接收/发送数据的操作。
  APB接口模块执行数据写入操作主要是将CPU写入的数据发送给寄存器或发送FIFO。APB总线写操作时序图参考APB协议详解。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_46022434/article/details/105822919

智能推荐

Docker 快速上手学习入门教程_docker菜鸟教程-程序员宅基地

文章浏览阅读2.5w次,点赞6次,收藏50次。官方解释是,docker 容器是机器上的沙盒进程,它与主机上的所有其他进程隔离。所以容器只是操作系统中被隔离开来的一个进程,所谓的容器化,其实也只是对操作系统进行欺骗的一种语法糖。_docker菜鸟教程

电脑技巧:Windows系统原版纯净软件必备的两个网站_msdn我告诉你-程序员宅基地

文章浏览阅读5.7k次,点赞3次,收藏14次。该如何避免的,今天小编给大家推荐两个下载Windows系统官方软件的资源网站,可以杜绝软件捆绑等行为。该站提供了丰富的Windows官方技术资源,比较重要的有MSDN技术资源文档库、官方工具和资源、应用程序、开发人员工具(Visual Studio 、SQLServer等等)、系统镜像、设计人员工具等。总的来说,这两个都是非常优秀的Windows系统镜像资源站,提供了丰富的Windows系统镜像资源,并且保证了资源的纯净和安全性,有需要的朋友可以去了解一下。这个非常实用的资源网站的创建者是国内的一个网友。_msdn我告诉你

vue2封装对话框el-dialog组件_<el-dialog 封装成组件 vue2-程序员宅基地

文章浏览阅读1.2k次。vue2封装对话框el-dialog组件_

MFC 文本框换行_c++ mfc同一框内输入二行怎么换行-程序员宅基地

文章浏览阅读4.7k次,点赞5次,收藏6次。MFC 文本框换行 标签: it mfc 文本框1.将Multiline属性设置为True2.换行是使用"\r\n" (宽字符串为L"\r\n")3.如果需要编辑并且按Enter键换行,还要将 Want Return 设置为 True4.如果需要垂直滚动条的话将Vertical Scroll属性设置为True,需要水平滚动条的话将Horizontal Scroll属性设_c++ mfc同一框内输入二行怎么换行

redis-desktop-manager无法连接redis-server的解决方法_redis-server doesn't support auth command or ismis-程序员宅基地

文章浏览阅读832次。检查Linux是否是否开启所需端口,默认为6379,若未打开,将其开启:以root用户执行iptables -I INPUT -p tcp --dport 6379 -j ACCEPT如果还是未能解决,修改redis.conf,修改主机地址:bind 192.168.85.**;然后使用该配置文件,重新启动Redis服务./redis-server redis.conf..._redis-server doesn't support auth command or ismisconfigured. try

实验四 数据选择器及其应用-程序员宅基地

文章浏览阅读4.9k次。济大数电实验报告_数据选择器及其应用

随便推点

灰色预测模型matlab_MATLAB实战|基于灰色预测河南省社会消费品零售总额预测-程序员宅基地

文章浏览阅读236次。1研究内容消费在生产中占据十分重要的地位,是生产的最终目的和动力,是保持省内经济稳定快速发展的核心要素。预测河南省社会消费品零售总额,是进行宏观经济调控和消费体制改变创新的基础,是河南省内人民对美好的全面和谐社会的追求的要求,保持河南省经济稳定和可持续发展具有重要意义。本文建立灰色预测模型,利用MATLAB软件,预测出2019年~2023年河南省社会消费品零售总额预测值分别为21881...._灰色预测模型用什么软件

log4qt-程序员宅基地

文章浏览阅读1.2k次。12.4-在Qt中使用Log4Qt输出Log文件,看这一篇就足够了一、为啥要使用第三方Log库,而不用平台自带的Log库二、Log4j系列库的功能介绍与基本概念三、Log4Qt库的基本介绍四、将Log4qt组装成为一个单独模块五、使用配置文件的方式配置Log4Qt六、使用代码的方式配置Log4Qt七、在Qt工程中引入Log4Qt库模块的方法八、获取示例中的源代码一、为啥要使用第三方Log库,而不用平台自带的Log库首先要说明的是,在平时开发和调试中开发平台自带的“打印输出”已经足够了。但_log4qt

100种思维模型之全局观思维模型-67_计算机中对于全局观的-程序员宅基地

文章浏览阅读786次。全局观思维模型,一个教我们由点到线,由线到面,再由面到体,不断的放大格局去思考问题的思维模型。_计算机中对于全局观的

线程间控制之CountDownLatch和CyclicBarrier使用介绍_countdownluach于cyclicbarrier的用法-程序员宅基地

文章浏览阅读330次。一、CountDownLatch介绍CountDownLatch采用减法计算;是一个同步辅助工具类和CyclicBarrier类功能类似,允许一个或多个线程等待,直到在其他线程中执行的一组操作完成。二、CountDownLatch俩种应用场景: 场景一:所有线程在等待开始信号(startSignal.await()),主流程发出开始信号通知,既执行startSignal.countDown()方法后;所有线程才开始执行;每个线程执行完发出做完信号,既执行do..._countdownluach于cyclicbarrier的用法

自动化监控系统Prometheus&Grafana_-自动化监控系统prometheus&grafana实战-程序员宅基地

文章浏览阅读508次。Prometheus 算是一个全能型选手,原生支持容器监控,当然监控传统应用也不是吃干饭的,所以就是容器和非容器他都支持,所有的监控系统都具备这个流程,_-自动化监控系统prometheus&grafana实战

React 组件封装之 Search 搜索_react search-程序员宅基地

文章浏览阅读4.7k次。输入关键字,可以通过键盘的搜索按钮完成搜索功能。_react search