fpga如何约束走线_FPGA时序约束实战篇之多周期路径约束-程序员宅基地

技术标签: fpga如何约束走线  

原标题:FPGA时序约束实战篇之多周期路径约束

多周期路径约束

多周期路径,我们一般按照以下4个步骤来约束:

带有使能的数据

首先来看带有使能的数据,在本工程中的Tming Report中,也提示了同一个时钟域之间的几个路径建立时间不满足要求

其实这几个路径都是带有使能的路径,使能的周期为2倍的时钟周期,本来就应该在2个时钟周期内去判断时序收敛。因此,我们添加时序约束:

set_multicycle_path2 -setup-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}]

set_multicycle_path1 -hold-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}]

也可以写为:

set_multicycle_path-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}] 2

set_multicycle_path-hold-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}] 1

这两种写法是等价的。

我们也可以直接点击右键通过GUI的方式进行约束,效果都是一样的。

在工程的 uart_tx_ctl.v 和 uart_rx_ctl.v 文件中,也存在带有使能的数据,但这些路径在未加多路径约束时并未报出时序错误或者警告。

因此,时序约束如下:

# 串口接收端

set_multicycle_path - from[get_cells uart_rx_i0 /uart_rx_ctl_i0/* -filter IS_SEQUENTIAL] -to [get_cells uart_rx_i0 /uart_rx_ctl_i0/* -filter IS_SEQUENTIAL] 108

set_multicycle_path -hold - from[get_cells uart_rx_i0 /uart_rx_ctl_i0/* -filter IS_SEQUENTIAL] -to [get_cells uart_rx_i0 /uart_rx_ctl_i0/* -filter IS_SEQUENTIAL] 107

# 串口发送端

set_multicycle_path - from[get_cells uart_tx_i0 /uart_tx_ctl_i0/* -filter IS_SEQUENTIAL] -to [get_cells uart_tx_i0 /uart_tx_ctl_i0/* -filter IS_SEQUENTIAL] 90

set_multicycle_path -hold - from[get_cells uart_tx_i0 /uart_tx_ctl_i0/* -filter IS_SEQUENTIAL] -to [get_cells uart_tx_i0 /uart_tx_ctl_i0/* -filter IS_SEQUENTIAL] 89

约束中的 filter 参数也将在下一章节具体讲解。

两个有数据交互的时钟之间存在相位差

在本工程中,没有这种应用场景,因此不需要添加此类约束。

存在快时钟到慢时钟的路径

在本工程中,没有这种应用场景,因此不需要添加此类约束。

存在慢时钟到快时钟的路径

在本工程中,没有这种应用场景,因此不需要添加此类约束。

综上,我们所有的时序约束如下:

# 主时钟约束

create_clock-period25 .000-nameclk2[get_ports clk_in2]

# 衍生时钟约束

create_generated_clock-nameclk_samp-source[get_pins clk_gen_i0/clk_core_i0/clk_tx]-divide_by32 [get_pins clk_gen_i0/BUFHCE_clk_samp_i0/O]

create_generated_clock-namespi_clk-source[get_pins dac_spi_i0/out_ddr_flop_spi_clk_i0/ODDR_inst/C]-divide_by1 -invert[get_ports spi_clk_pin]

create_generated_clock-nameclk_tx-source[get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1][get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1]

create_generated_clock-nameclk_rx-source[get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1][get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0]

# 设置异步时钟

set_clock_groups-asynchronous-group[get_clocks clk_samp]-group[get_clocks clk2]

# 延迟约束

create_clock-period6 .000-namevirtual_clock

set_input_delay-clock[get_clocks -of_objects [get_ports clk_pin_p]] 0 .000[get_ports rxd_pin]

set_input_delay-clock[get_clocks -of_objects [get_ports clk_pin_p]] -min-0.500[get_ports rxd_pin]

set_input_delay-clockvirtual_clock-max0 .000[get_ports lb_sel_pin]

set_input_delay-clockvirtual_clock-min-0.500[get_ports lb_sel_pin]

set_output_delay-clockvirtual_clock-max0 .000[get_ports {txd_pin {led_pins[*]}}]

set_output_delay-clockvirtual_clock-min-0.500[get_ports {txd_pin {led_pins[*]}}]

set_output_delay-clockspi_clk-max1 .000[get_ports {spi_mosi_pin dac_cs_n_pin dac_clr_n_pin}]

set_output_delay-clockspi_clk-min-1.000[get_ports {spi_mosi_pin dac_cs_n_pin dac_clr_n_pin}]

# 伪路径约束

set_false_path-from[get_clocks clk_rx]-to[get_clocks clk_tx]

set_false_path-from[get_ports rst_pin]

# 多周期约束

set_multicycle_path2 -setup-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}]

set_multicycle_path1 -hold-from[get_cells {cmd_parse_i0/send_resp_data_reg[*]} -include_replicated_objects] -to[get_cells {resp_gen_i0/to_bcd_i0/bcd_out_reg[*]}]

# 串口接收端

set_multicycle_path108 -setup-from[get_cells uart_rx_i0/uart_rx_ctl_i0/* -filter IS_SEQUENTIAL]-to[get_cells uart_rx_i0/uart_rx_ctl_i0/* -filter IS_SEQUENTIAL]

set_multicycle_path107 -hold-from[get_cells uart_rx_i0/uart_rx_ctl_i0/* -filter IS_SEQUENTIAL]-to[get_cells uart_rx_i0/uart_rx_ctl_i0/* -filter IS_SEQUENTIAL]

# 串口发送端

set_multicycle_path90 -setup-from[get_cells uart_tx_i0/uart_tx_ctl_i0/* -filter IS_SEQUENTIAL]-to[get_cells uart_tx_i0/uart_tx_ctl_i0/* -filter IS_SEQUENTIAL]

set_multicycle_path89 -hold-from[get_cells uart_tx_i0/uart_tx_ctl_i0/* -filter IS_SEQUENTIAL]-to[get_cells uart_tx_i0/uart_tx_ctl_i0/* -filter IS_SEQUENTIAL]

重新Synthesis并Implementation后,可以看到,已经没有了时序错误

仅有的两个warning也只是说rst没有设置input_delay,spi_clk_pin没有设置output_delay,但我们已经对rst设置了伪路径,而spi_clk_pin是我们约束的输出时钟,无需设置output_delay。

到这里,教科书版的时序约束教程就基本讲完了。但我们平时的工程中,跟上面这种约束还是有差异的:

首先是虚拟时钟,这个约束在平时的工程中基本不会用到,像需要设置虚拟时钟的场景,我们也都是通过设计来保证时序收敛,设置虚拟时钟的意义不大。

第二就是output delay,在FPGA的最后一级寄存器到输出的路径上,往往都使用了IOB,也就是IO block,因此最后一级寄存器的位置是固定的,从buffer到pad的走线延时是确定的。在这种情况下,是否满足时序要求完全取决于设计,做约束只是验证一下看看时序是否收敛。所以也基本不做。但是input delay是需要的,因为这是上一级器件输出的时序关系。

第三个就是多周期路径,我们讲了那么多多周期路径的应用场景,但实际我们是根据Timing report来进行约束的,即便那几种场景都存在,但如果Timing report中没有提示任何的时序 warning,我们往往也不会去添加约束。

第四个就是在设置了多周期后,如果还是提示Intra-Clocks Paths的setup time不过,那就要看下程序,是否写的不规范。比如

如果设置了多周期路径后,还是提示 Intra-Clocks Paths 的 setup time 不过,那就要看下程序,是否写的不规范。比如

always @ (posedge clk)

begin

regA <= regB;

if(regA != regB)

regC <= 4'hf;

else

regC <= {regC[2:0], 1' b 0};

if((&flag[ 3:0]) && regA != regB)

regD <= regB;

end

这么写的话,如果时钟频率稍微高一点,比如250MHz,就很容易导致从regB到regD的setup time不满足要求。因为begin end里面的代码都是按顺序执行的,要在4ns内完成这些赋值与判断的逻辑,挑战还是挺大的。因此,我们可以改写为:

always @ (posedge clk)

begin

regA <= regB;

end

always@ (posedge clk)

begin

if(regA != regB)

regC <= 4'hf;

else

regC <= {regC[2:0], 1' b0};

end

always@ (posedge phy_clk)

begin

if((&flag[ 3: 0]) && regA != regB)

regD <= regB;

end

把寄存器的赋值分开,功能还是一样的,只是分到了几个always中,这样就不会导致时序问题了。 返回搜狐,查看更多

责任编辑:

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_39748183/article/details/111543410

智能推荐

c# 调用c++ lib静态库_c#调用lib-程序员宅基地

文章浏览阅读2w次,点赞7次,收藏51次。四个步骤1.创建C++ Win32项目动态库dll 2.在Win32项目动态库中添加 外部依赖项 lib头文件和lib库3.导出C接口4.c#调用c++动态库开始你的表演...①创建一个空白的解决方案,在解决方案中添加 Visual C++ , Win32 项目空白解决方案的创建:添加Visual C++ , Win32 项目这......_c#调用lib

deepin/ubuntu安装苹方字体-程序员宅基地

文章浏览阅读4.6k次。苹方字体是苹果系统上的黑体,挺好看的。注重颜值的网站都会使用,例如知乎:font-family: -apple-system, BlinkMacSystemFont, Helvetica Neue, PingFang SC, Microsoft YaHei, Source Han Sans SC, Noto Sans CJK SC, W..._ubuntu pingfang

html表单常见操作汇总_html表单的处理程序有那些-程序员宅基地

文章浏览阅读159次。表单表单概述表单标签表单域按钮控件demo表单标签表单标签基本语法结构<form action="处理数据程序的url地址“ method=”get|post“ name="表单名称”></form><!--action,当提交表单时,向何处发送表单中的数据,地址可以是相对地址也可以是绝对地址--><!--method将表单中的数据传送给服务器处理,get方式直接显示在url地址中,数据可以被缓存,且长度有限制;而post方式数据隐藏传输,_html表单的处理程序有那些

PHP设置谷歌验证器(Google Authenticator)实现操作二步验证_php otp 验证器-程序员宅基地

文章浏览阅读1.2k次。使用说明:开启Google的登陆二步验证(即Google Authenticator服务)后用户登陆时需要输入额外由手机客户端生成的一次性密码。实现Google Authenticator功能需要服务器端和客户端的支持。服务器端负责密钥的生成、验证一次性密码是否正确。客户端记录密钥后生成一次性密码。下载谷歌验证类库文件放到项目合适位置(我这边放在项目Vender下面)https://github.com/PHPGangsta/GoogleAuthenticatorPHP代码示例://引入谷_php otp 验证器

【Python】matplotlib.plot画图横坐标混乱及间隔处理_matplotlib更改横轴间距-程序员宅基地

文章浏览阅读4.3k次,点赞5次,收藏11次。matplotlib.plot画图横坐标混乱及间隔处理_matplotlib更改横轴间距

docker — 容器存储_docker 保存容器-程序员宅基地

文章浏览阅读2.2k次。①Storage driver 处理各镜像层及容器层的处理细节,实现了多层数据的堆叠,为用户 提供了多层数据合并后的统一视图②所有 Storage driver 都使用可堆叠图像层和写时复制(CoW)策略③docker info 命令可查看当系统上的 storage driver主要用于测试目的,不建议用于生成环境。_docker 保存容器

随便推点

网络拓扑结构_网络拓扑csdn-程序员宅基地

文章浏览阅读834次,点赞27次,收藏13次。网络拓扑结构是指计算机网络中各组件(如计算机、服务器、打印机、路由器、交换机等设备)及其连接线路在物理布局或逻辑构型上的排列形式。这种布局不仅描述了设备间的实际物理连接方式,也决定了数据在网络中流动的路径和方式。不同的网络拓扑结构影响着网络的性能、可靠性、可扩展性及管理维护的难易程度。_网络拓扑csdn

JS重写Date函数,兼容IOS系统_date.prototype 将所有 ios-程序员宅基地

文章浏览阅读1.8k次,点赞5次,收藏8次。IOS系统Date的坑要创建一个指定时间的new Date对象时,通常的做法是:new Date("2020-09-21 11:11:00")这行代码在 PC 端和安卓端都是正常的,而在 iOS 端则会提示 Invalid Date 无效日期。在IOS年月日中间的横岗许换成斜杠,也就是new Date("2020/09/21 11:11:00")通常为了兼容IOS的这个坑,需要做一些额外的特殊处理,笔者在开发的时候经常会忘了兼容IOS系统。所以就想试着重写Date函数,一劳永逸,避免每次ne_date.prototype 将所有 ios

如何将EXCEL表导入plsql数据库中-程序员宅基地

文章浏览阅读5.3k次。方法一:用PLSQL Developer工具。 1 在PLSQL Developer的sql window里输入select * from test for update; 2 按F8执行 3 打开锁, 再按一下加号. 鼠标点到第一列的列头,使全列成选中状态,然后粘贴,最后commit提交即可。(前提..._excel导入pl/sql

Git常用命令速查手册-程序员宅基地

文章浏览阅读83次。Git常用命令速查手册1、初始化仓库git init2、将文件添加到仓库git add 文件名 # 将工作区的某个文件添加到暂存区 git add -u # 添加所有被tracked文件中被修改或删除的文件信息到暂存区,不处理untracked的文件git add -A # 添加所有被tracked文件中被修改或删除的文件信息到暂存区,包括untracked的文件...

分享119个ASP.NET源码总有一个是你想要的_千博二手车源码v2023 build 1120-程序员宅基地

文章浏览阅读202次。分享119个ASP.NET源码总有一个是你想要的_千博二手车源码v2023 build 1120

【C++缺省函数】 空类默认产生的6个类成员函数_空类默认产生哪些类成员函数-程序员宅基地

文章浏览阅读1.8k次。版权声明:转载请注明出处 http://blog.csdn.net/irean_lau。目录(?)[+]1、缺省构造函数。2、缺省拷贝构造函数。3、 缺省析构函数。4、缺省赋值运算符。5、缺省取址运算符。6、 缺省取址运算符 const。[cpp] view plain copy_空类默认产生哪些类成员函数

推荐文章

热门文章

相关标签