FPGA设计中,对SPI进行参数化结构设计_fpga spi spi_send-程序员宅基地

技术标签: fpga  FPGA学习系列  

今天给大侠带来FPGA设计中,对SPI进行参数化结构设计,话不多说,上货。

 

为了避免每次SPI驱动重写,直接参数化,尽量一劳永逸。SPI master有啥用呢,你发现各种外围芯片的配置一般都是通过SPI配置的,只不过有三线和四线。SPI slave有什么用呢,当外部主机(cpu)要读取FPGA内部寄存器值,那就很有用了,FPGA寄存器就相当于RAM,cpu通过SPI寻址读写数据。代码仅供参考,勿做商业用途。

 

SPI salve

SPI salve支持功能:

  • 支持三线SPI或者四线SPI。通过define切换。                                 

  • 支持指令长度、帧长自定义。                               

  • 工作时钟可自定义,大于SPI clk的2倍。

 

用户只需修改:(1)几线SPI。(2)单帧长度。(3)指令长度。(4)寄存器开辟。

注意:指令最高bit表示读写,低写高读,其余bit表示地址。指令接着为数据端,两者位宽之和即为SPI单帧长。

//`define SPI_LINE  //是否是三线SPI`define SPI_FRAME_WIDTH 16 //SPI一帧长度为16`define SPI_INS_WIDTH 8    //SPI指令长`timescale 1ns/1psmodule spi_slave (    input     i_clk               , //work clk    input     i_rst_n             ,        input     i_spi_clk           , //SPI clk    input     i_spi_cs            , //SPI cs    `ifdef SPI_LINE                 //条件编译    inout     io_spi_sdio              `else    input     i_spi_mosi          , //SPI mosi    output    o_spi_miso            //SPI miso    `endif          );//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunctionreg r_cs = 1'b1; //打一拍always @(posedge i_clk)begin    r_cs <= i_spi_cs;endreg [1:0] r_spi_clk_edge = 2'b00; //SPI clk边沿检测always @(posedge i_clk)begin    r_spi_clk_edge <= {r_spi_clk_edge[0],i_spi_clk};end //alwaysreg [clogb2(`SPI_FRAME_WIDTH-1)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk)begin    if (r_cs) //cs为高则归零        r_spi_cnt <= 'd0;    else if (r_spi_clk_edge == 2'b10) //下降沿才计数        r_spi_cnt <= r_spi_cnt + 'd1;end指令锁存reg [`SPI_INS_WIDTH-1:0] r_ins = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if ((r_spi_cnt >= 0) && (r_spi_cnt <= `SPI_INS_WIDTH-1))        `ifdef SPI_LINE                 //条件编译            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],io_spi_sdio};          `else            r_ins <= {r_ins[`SPI_INS_WIDTH-2:0],i_spi_mosi};          `endif     end end数值写入reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_rx = 'd0;always @(posedge i_clk)begin    if ((~r_cs) && (r_spi_clk_edge == 2'b01)) //上升沿锁存数据    begin        if (r_spi_cnt >= `SPI_INS_WIDTH)        `ifdef SPI_LINE            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio};        `else            r_data_rx <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi};           `endif    endend用户寄存器定义reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg0 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg1 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg2 = 'd0;reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_reg3 = 'd0;always @(posedge i_clk,negedge i_rst_n)begin    if (~i_rst_n)    begin        r_reg0 <= 'd0;        r_reg1 <= 'd0;        r_reg2 <= 'd0;        r_reg3 <= 'd0;    end    else if ((~r_ins[`SPI_INS_WIDTH-1]) && (r_spi_cnt == (`SPI_FRAME_WIDTH-1)) && (~r_cs) && (r_spi_clk_edge == 2'b01))    begin    `ifdef SPI_LINE        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],io_spi_sdio}; end        endcase     `else        case (r_ins[`SPI_INS_WIDTH-2:0])            'd0:begin r_reg0 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd1:begin r_reg1 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd2:begin r_reg2 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end            'd3:begin r_reg3 <= {r_data_rx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],i_spi_mosi}; end        endcase     `endif     endend寄存器值读出reg [`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1:0] r_data_tx = 'd0;always @(posedge i_clk)begin    if (r_ins[`SPI_INS_WIDTH-1] && (~r_cs) && (r_spi_clk_edge == 2'b10))    begin         if (r_spi_cnt == (`SPI_INS_WIDTH-1))        begin            case (r_ins[`SPI_INS_WIDTH-2:0])                'd0:begin r_data_tx <= r_reg0; end                 'd1:begin r_data_tx <= r_reg1; end                 'd2:begin r_data_tx <= r_reg2; end                 'd3:begin r_data_tx <= r_reg3; end                 endcase         end        else             r_data_tx <= {r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-2:0],1'b0};    end end读取输出`ifdef SPI_LINEassign io_spi_sdio = (r_ins[`SPI_INS_WIDTH-1]) ? (((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'bz) : 1'bz;`else assign o_spi_miso = ((r_spi_cnt>=`SPI_INS_WIDTH) && (r_spi_cnt<`SPI_FRAME_WIDTH)) ? r_data_tx[`SPI_FRAME_WIDTH-`SPI_INS_WIDTH-1] : 1'b0;`endifendmodule // end the spi_slave model

 

SPI master

spi master内部仅仅封装SPI驱动,写入值读出控制由上层控制,这部分逻辑很simple,不赘述。用户只需给入SPI帧及控制使能即可。

用户只需修改parameter参数:(1)单帧长;(2)指令长;(3)数据长;(4)工作时钟;(5)SPI clk。

实现不使用状态机,采用线性序列计数法。

//`define SPI_LINE  //是否是三线SPI`timescale 1ns/1psmodule spi_master #(parameter p_spi_frame_width = 16, //SPI单帧长度  parameter p_spi_ins_width = 8   , //指令长度  parameter p_spi_data_width = 8    //读出数据长度 )(    input                                i_clk             , //系统时钟    input                                i_rst_n           ,    input                                i_flag            , //检测到flag的上升沿则启动一次传输,一个时钟周期即可       input     [p_spi_frame_width-1:0]    i_spi_data        ,    output                               o_spi_cs          ,    output                               o_spi_clk         ,    `ifdef SPI_LINE                 //条件编译    inout                                io_spi_sdio       ,              `else    input                                i_spi_miso        , //SPI miso    output                               o_spi_mosi        , //SPI mosi    `endif         output                               o_transfer_done   , //单次传输完成    output    [p_spi_data_width-1:0]     o_spi_data          //读取数据           );parameter p_clk_fre = 200; //XXM时钟频率parameter p_spi_clk_fre = 0.5*1000; //SPI 时钟速率,表示1Mparameter p_clk_div = p_clk_fre * 1000/p_spi_clk_fre/2-1;parameter p_spi_cnt_max = p_spi_frame_width*2-1;parameter p_spi_ins_max = p_spi_ins_width*2-1;//位宽计算函数function integer clogb2 (input integer depth);begin    for (clogb2=0; depth>0; clogb2=clogb2+1)         depth = depth >>1;                          endendfunction//把最大值赋值给线型,直接用p_clk_div仿真有问题,但实际上板是可以的wire [clogb2(p_clk_div)-1:0] w_clk_div;assign w_clk_div = p_clk_div;时钟分频reg [clogb2(p_clk_div)-1:0] r_cnt_div = 'd0;always @(posedge i_clk)begin    if (r_cnt_div == w_clk_div)        r_cnt_div <= 'd0;    else         r_cnt_div <= r_cnt_div + 'd1;end //alwayswire w_clk_en; //分频时钟使能assign w_clk_en = (r_cnt_div == w_clk_div) ? 1'b1 : 1'b0;reg [1:0] r_flag_edge = 2'b00;reg [clogb2(p_spi_cnt_max)-1:0] r_spi_cnt = 'd0;always @(posedge i_clk) //flag边沿检测begin    r_flag_edge <= {r_flag_edge[0],i_flag};end//flag信号展宽到低速时钟域reg r_flag_enlarge = 1'b0;always @(posedge i_clk)begin    if (r_flag_edge == 2'b01) //上升沿拉高        r_flag_enlarge <= 1'b1;    else if (r_spi_cnt == p_spi_ins_max) //足够长的高电平才拉低        r_flag_enlarge <= 1'b0;endreg [1:0] r_flag_enlarge_edge = 2'b00;always @(posedge i_clk)begin    if (w_clk_en)        r_flag_enlarge_edge <= {r_flag_enlarge_edge[0],r_flag_enlarge};endreg r_cs = 1'b1;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //检测到需要进行SPI操作            r_cs <= 1'b0;        else if (r_spi_cnt == p_spi_cnt_max) //计数到最大值表示一次SPI完成            r_cs <= 1'b1;    endendalways @(posedge i_clk)begin    if (w_clk_en)    begin        if(~r_cs) //在操作区间计数            r_spi_cnt <= r_spi_cnt + 'd1;        else             r_spi_cnt <= 'd0;    end    end数据传输段reg [p_spi_frame_width-1:0] r_data = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (r_flag_enlarge_edge == 2'b01) //上升沿刷入            r_data <= i_spi_data;        else if (r_spi_cnt[0] == 1'b1) //数据移动            r_data <= {r_data[p_spi_frame_width-2:0],1'b1};    endend数据读取段reg [p_spi_data_width-1:0] r_data_read = 'd0;always @(posedge i_clk)begin    if (w_clk_en)    begin        if (i_spi_data[p_spi_frame_width-1] && (r_spi_cnt > p_spi_ins_max) && (r_spi_cnt[0] == 1'b0)) //是读        `ifdef SPI_LINE            r_data_read <= {r_data_read[p_spi_data_width-2:0],io_spi_sdio};        `else            r_data_read <= {r_data_read[p_spi_data_width-2:0],i_spi_miso};        `endif     end    endSPI输出段assign o_spi_cs = r_cs;assign o_spi_clk = r_cs ? 1'b0 : r_spi_cnt[0];SPI SDIO的输入输出切换`ifdef SPI_LINEassign io_spi_sdio = (i_spi_data[p_spi_frame_width-1]) ? (((r_spi_cnt >= 'd0) && (r_spi_cnt <= p_spi_ins_max)) ? r_data[p_spi_frame_width-1] : 1'bz ) : r_data[p_spi_frame_width-1];`else assign o_spi_mosi = r_data[p_spi_frame_width-1];`endif assign o_transfer_done = ((~r_cs) && (r_spi_cnt == p_spi_cnt_max)) ? 1'b1:1'b0;assign o_spi_data = r_data_read;endmodule // end the spi_master model

 

仿真如下所示:写入四个寄存器值,再读出。

仿真代码如下:

`define TRANSFER_NUMBER 8 //操作数为4`define DATA 8'ha5//`define SPI_LINEtimeunit 1ns;timeprecision 1ps;module top;parameter p_sim_end_time = 1000000; //nslogic l_clk = 1'b0;always #2.5 l_clk = ~l_clk;复位logic l_rst_n  = 1'b0;initial begin    #100 l_rst_n = 1'b1;endwire io_sdio;wire o_spi_cs;wire o_spi_clk;wire o_transfer_done;wire [7:0] o_spi_data;多个数据操作模式reg r_flag = 1'b0;reg [1:0] r_first_cnt = 2'b00;always @(posedge l_clk,negedge l_rst_n)begin    if (~l_rst_n)        r_first_cnt <= 2'b00;    else if (r_first_cnt == 2'd3)        r_first_cnt <= r_first_cnt;    else         r_first_cnt <= r_first_cnt + 2'd1;endreg [1:0] r_transfer_done_edge = 2'b00;always @(posedge l_clk)begin    r_transfer_done_edge <= {r_transfer_done_edge[0],o_transfer_done};endreg [3:0] r_transfer_cnt = 4'd0;always @(posedge l_clk)begin    if ((r_first_cnt == 2'd2) && (r_transfer_cnt < `TRANSFER_NUMBER))        r_flag <= 1'b1;    else if ((r_transfer_done_edge == 2'b10) && (r_transfer_cnt < `TRANSFER_NUMBER-1))        r_flag <= 1'b1;    else         r_flag <= 1'b0;        endalways @(posedge l_clk)begin    if (r_transfer_done_edge == 2'b10)        r_transfer_cnt <= r_transfer_cnt + 'd1;endreg [15:0] r_in_data  = 16'd0;always @(*)begin    if (~l_rst_n) //仿真不执行此段仿真会有问题        r_in_data  = 16'h0000;    else     begin     case(r_transfer_cnt)        4'd0:begin  r_in_data  = {8'h00,8'h43}; end         4'd1:begin  r_in_data  = 16'h0132; end         4'd2:begin  r_in_data  = 16'h0245; end         4'd3:begin  r_in_data  = 16'h0367; end         4'd4:begin  r_in_data  = 16'h8000; end         4'd5:begin  r_in_data  = 16'h8100; end         4'd6:begin  r_in_data  = 16'h8200; end         4'd7:begin  r_in_data  = 16'h8300; end         default:begin  r_in_data  = 16'h0000; end    endcase    end endwire w_spi_miso;wire w_spi_mosi;spi_master inst_spi_master (    .i_clk             (l_clk),    .i_rst_n           (),    .i_flag            (r_flag),    .i_spi_data        (r_in_data),    .o_spi_cs          (o_spi_cs),    .o_spi_clk         (o_spi_clk),    `ifdef SPI_LINE    .io_spi_sdio       (io_sdio),    `else    .i_spi_miso        (w_spi_miso),    .o_spi_mosi        (w_spi_mosi),    `endif    .o_transfer_done   (o_transfer_done),    .o_spi_data        (o_spi_data)    );spi_slave  inst_spi_slave (    .i_clk                            (l_clk),    .i_rst_n                          (l_rst_n),    .i_spi_clk                        (o_spi_clk),    .i_spi_cs                         (o_spi_cs),    `ifdef SPI_LINE    .io_spi_sdio                      (io_sdio)    `else    .i_spi_mosi                      (w_spi_mosi),    .o_spi_miso                      (w_spi_miso)    `endif);initial begin    #p_sim_end_time $stop;end    endmodule

 

三线SPI:

 

四线SPI:

 

可以看到读写是一致的,验证通过。

 

 

END

 

 

后续会持续更新,带来Vivado、 ISE、Quartus II 、candence等安装相关设计教程,学习资源、项目资源、好文推荐等,希望大侠持续关注。

大侠们,江湖偌大,继续闯荡,愿一切安好,有缘再见!

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_40310273/article/details/106102941

智能推荐

while循环&CPU占用率高问题深入分析与解决方案_main函数使用while(1)循环cpu占用99-程序员宅基地

文章浏览阅读3.8k次,点赞9次,收藏28次。直接上一个工作中碰到的问题,另外一个系统开启多线程调用我这边的接口,然后我这边会开启多线程批量查询第三方接口并且返回给调用方。使用的是两三年前别人遗留下来的方法,放到线上后发现确实是可以正常取到结果,但是一旦调用,CPU占用就直接100%(部署环境是win server服务器)。因此查看了下相关的老代码并使用JProfiler查看发现是在某个while循环的时候有问题。具体项目代码就不贴了,类似于下面这段代码。​​​​​​while(flag) {//your code;}这里的flag._main函数使用while(1)循环cpu占用99

【无标题】jetbrains idea shift f6不生效_idea shift +f6快捷键不生效-程序员宅基地

文章浏览阅读347次。idea shift f6 快捷键无效_idea shift +f6快捷键不生效

node.js学习笔记之Node中的核心模块_node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是-程序员宅基地

文章浏览阅读135次。Ecmacript 中没有DOM 和 BOM核心模块Node为JavaScript提供了很多服务器级别,这些API绝大多数都被包装到了一个具名和核心模块中了,例如文件操作的 fs 核心模块 ,http服务构建的http 模块 path 路径操作模块 os 操作系统信息模块// 用来获取机器信息的var os = require('os')// 用来操作路径的var path = require('path')// 获取当前机器的 CPU 信息console.log(os.cpus._node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是

数学建模【SPSS 下载-安装、方差分析与回归分析的SPSS实现(软件概述、方差分析、回归分析)】_化工数学模型数据回归软件-程序员宅基地

文章浏览阅读10w+次,点赞435次,收藏3.4k次。SPSS 22 下载安装过程7.6 方差分析与回归分析的SPSS实现7.6.1 SPSS软件概述1 SPSS版本与安装2 SPSS界面3 SPSS特点4 SPSS数据7.6.2 SPSS与方差分析1 单因素方差分析2 双因素方差分析7.6.3 SPSS与回归分析SPSS回归分析过程牙膏价格问题的回归分析_化工数学模型数据回归软件

利用hutool实现邮件发送功能_hutool发送邮件-程序员宅基地

文章浏览阅读7.5k次。如何利用hutool工具包实现邮件发送功能呢?1、首先引入hutool依赖<dependency> <groupId>cn.hutool</groupId> <artifactId>hutool-all</artifactId> <version>5.7.19</version></dependency>2、编写邮件发送工具类package com.pc.c..._hutool发送邮件

docker安装elasticsearch,elasticsearch-head,kibana,ik分词器_docker安装kibana连接elasticsearch并且elasticsearch有密码-程序员宅基地

文章浏览阅读867次,点赞2次,收藏2次。docker安装elasticsearch,elasticsearch-head,kibana,ik分词器安装方式基本有两种,一种是pull的方式,一种是Dockerfile的方式,由于pull的方式pull下来后还需配置许多东西且不便于复用,个人比较喜欢使用Dockerfile的方式所有docker支持的镜像基本都在https://hub.docker.com/docker的官网上能找到合..._docker安装kibana连接elasticsearch并且elasticsearch有密码

随便推点

Python 攻克移动开发失败!_beeware-程序员宅基地

文章浏览阅读1.3w次,点赞57次,收藏92次。整理 | 郑丽媛出品 | CSDN(ID:CSDNnews)近年来,随着机器学习的兴起,有一门编程语言逐渐变得火热——Python。得益于其针对机器学习提供了大量开源框架和第三方模块,内置..._beeware

Swift4.0_Timer 的基本使用_swift timer 暂停-程序员宅基地

文章浏览阅读7.9k次。//// ViewController.swift// Day_10_Timer//// Created by dongqiangfei on 2018/10/15.// Copyright 2018年 飞飞. All rights reserved.//import UIKitclass ViewController: UIViewController { ..._swift timer 暂停

元素三大等待-程序员宅基地

文章浏览阅读986次,点赞2次,收藏2次。1.硬性等待让当前线程暂停执行,应用场景:代码执行速度太快了,但是UI元素没有立马加载出来,造成两者不同步,这时候就可以让代码等待一下,再去执行找元素的动作线程休眠,强制等待 Thread.sleep(long mills)package com.example.demo;import org.junit.jupiter.api.Test;import org.openqa.selenium.By;import org.openqa.selenium.firefox.Firefox.._元素三大等待

Java软件工程师职位分析_java岗位分析-程序员宅基地

文章浏览阅读3k次,点赞4次,收藏14次。Java软件工程师职位分析_java岗位分析

Java:Unreachable code的解决方法_java unreachable code-程序员宅基地

文章浏览阅读2k次。Java:Unreachable code的解决方法_java unreachable code

标签data-*自定义属性值和根据data属性值查找对应标签_如何根据data-*属性获取对应的标签对象-程序员宅基地

文章浏览阅读1w次。1、html中设置标签data-*的值 标题 11111 222222、点击获取当前标签的data-url的值$('dd').on('click', function() { var urlVal = $(this).data('ur_如何根据data-*属性获取对应的标签对象

推荐文章

热门文章

相关标签