5输入的多数表决器(结构化建模)_用74ls138实现5输入-程序员宅基地

技术标签: systemverilog  

  • 采用 3 个 74LS138 模块、和基本逻辑门电路实现 5 输入多数表决器

dec_74LS138(3-8 译码器)

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/08 10:25:45
// Design Name: 
// Module Name: dec_74LS138
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module dec_74LS138(
   input logic G,G2A,G2B,
   input logic [2:0] D,
   output logic [7:0] Y
    );
    always_comb begin
    if(G==0)  Y=8'b11111111;
    else if(G2A==1)  Y=8'b11111111;
    else if(G2B==1)  Y=8'b11111111;
    else begin
    case(D)
    3'b000: Y=8'b11111110;
    3'b001: Y=8'b11111101;
    3'b010: Y=8'b11111011;
    3'b011: Y=8'b11110111;
    3'b100: Y=8'b11101111;
    3'b101: Y=8'b11011111;
    3'b110: Y=8'b10111111;
    3'b111: Y=8'b01111111;
    endcase
    end
    end
endmodule

v1.sv(结构化建模)

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/08 10:26:54
// Design Name: 
// Module Name: voter5
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module v1(
   input logic [4:0] I,
   output  logic pass

    );
    logic G=1'b1;
    logic G1=1'b0;
    logic G2=1'b0;
    logic [7:0] Y5;
    logic [7:0] Y6;
    logic [7:0] Y7;
    logic  A1,A2,A3;
    dec_74LS138 T5 (.G(G),.G2A(G1),.G2B(I[3]),.D(I[2:0]),.Y(Y5));
    dec_74LS138 T6 (.G(G),.G2A(I[4]),.G2B(G2),.D(I[2:0]),.Y(Y6));
    dec_74LS138 T7 (.G(G),.G2A(G1),.G2B(G2),.D(I[2:0]),.Y(Y7));
    assign A1= (!((~Y5)|(~Y6)))&(Y7[0]);
    assign A2= Y5==8'b11111111 ?  (Y7[0]& Y7[1]& Y7[2]& Y7[4]) :(!Y7[7]);
    assign A3= Y6==8'b11111111 ?  (Y7[0]& Y7[1]& Y7[2]& Y7[4]) :(!Y7[7]);
    assign pass=A1|A2|A3;
  
endmodule

v1_tb.sv(测试文件)

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/07 19:41:43
// Design Name: 
// Module Name: voter5_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module  vi_tb();
 logic [4:0] I;
 logic  pass;
 integer i;
 v1 DUT(.I(I),.pass(pass));
 initial begin
   for(i=0;i<32;i=i+1) begin
    I=i;
    #20;   
    end
 end
 initial begin
 $timeformat(-9,0,"ns",5);
 $monitor("At time %t: I=%b,pass=%b",$time,I,pass);
 end
endmodule

v1.xdc(约束文件)

set_property -dict {
    PACKAGE_PIN B9  IOSTANDARD LVCMOS33} [get_ports {
    I[0]}];
set_property -dict {
    PACKAGE_PIN D11  IOSTANDARD LVCMOS33} [get_ports {
    I[1]}];
set_property -dict {
    PACKAGE_PIN B11  IOSTANDARD LVCMOS33} [get_ports {
    I[2]}];
set_property -dict {
    PACKAGE_PIN B12  IOSTANDARD LVCMOS33} [get_ports {
    I[3]}];
set_property -dict {
    PACKAGE_PIN A10  IOSTANDARD LVCMOS33} [get_ports {
    I[4]}];

set_property -dict {
    PACKAGE_PIN K13  IOSTANDARD LVCMOS33} [get_ports {
    pass}];
版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_45645937/article/details/115626261

智能推荐

Mongodb 3.4.24 复制集构建_mongoversion v3.4.24 replication: master-程序员宅基地

文章浏览阅读522次。​​​​​​准备3个节点,我这里的IP及hostname分别是:192.168.31.164 mongodb-master192.168.31.165 mongodb-slave192.168.31.167 mongodb-arbiter版本:mongodb-linux-x86_64-rhel70-3.4.24.tgz同时节点需要完成:关闭防火墙、SSH..._mongoversion v3.4.24 replication: master

字符集ISO-8859-1_iso-8859-1字符-程序员宅基地

文章浏览阅读968次。Latin1是ISO-8859-1的别名,有些环境下写作Latin-1。_iso-8859-1字符

CQRS思路-程序员宅基地

文章浏览阅读89次。[code="java"]http://www.cnblogs.com/netfocus/p/5184182.html[/code]_汤雪华 阿里巴巴

毫米波点云生成论文 阅读笔记 | 3D Point Cloud Generation with Millimeter-Wave Radar_xinyu zhang-程序员宅基地

文章浏览阅读1.6k次。Kun Qian, Zhaoyuan He, Xinyu ZhangUCSDProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies (ACM IMWUT)内容在CSDN、知乎和微信公众号同步更新Extremely low resolution due to its small form factor only generates intensity maps with strong ref_xinyu zhang

负数取模为正数_负数对正数取模-程序员宅基地

文章浏览阅读2.3k次,点赞5次,收藏5次。(a % b + b) % b_负数对正数取模

录制ios 命令行工具_录制音频的简单命令行工具-程序员宅基地

文章浏览阅读182次。录制ios 命令行工具 机器学习和自然语言处理通过给人以声音来改变我们与设备的关系。 视障人士尤其从这些技术中受益,但大多数语音银行都把那些像我的母语Odia这样说英语的人基本抛在了后面。 当泰米尔语 维基百科上的 T. Shrinivasan开创了录音机语音教学工具时 ,他可能没有意识到他的开源工具对像我这样的用户有多大用处。 我在寻找一个简单的工具,该工具可以让我在短时间内记录大量单词,..._ios语音控制录制命令

随便推点

Mxnet学习笔记(3)--自定义Op_mxnet customop 训练慢-程序员宅基地

文章浏览阅读4.6k次,点赞3次,收藏5次。Mxnet CustomOp_mxnet customop 训练慢

javac命令和java命令_javac 和java 执行的文件名可以不一样吗-程序员宅基地

文章浏览阅读1.1k次。1、两个命令可以大写,也可以小写,因为window平台不区分大小写。2、java源文件文件名需与public类名一致,如果文件中无public类,文件名可以是任意标识符3、javac命令编译生成的class文件,有几个类就会生成几个文件4、格式:“javac –d 生成文件的保存路径 源文件路径”,建议总是写-d后面语句,好处就是如果原文件有包,会在当前目录自动生成包文件夹,如果..._javac 和java 执行的文件名可以不一样吗

@resource、@Autowired、@Service在一个接口多个实现类中的应用_@resource 接口与service-程序员宅基地

文章浏览阅读1.8w次,点赞10次,收藏15次。Spring在没有引入注解之前,传统的Spring做法是使用.xml文件来对bean进行注入,所有的内容都需要配置在.xml文件中,使配置和编程分离,却增加了可读性和复杂度。 Spring注解将复杂的配置文件用简单@xxx代替,当出现一个接口多个实现类的时候,究竟是用的哪个实现类呢?示例代码:public interface DataDao{}_@resource 接口与service

NTP相关命令解析_ntpq -p详解-程序员宅基地

文章浏览阅读1.7w次。导读由于ntp服务器时间的设置,网上错综复杂,资料很多,大家随便找个资料,这个不行,找另外的资料,总之对这个不是太了解,这里找了份资料,详细介绍了ntp。万变不离其中,明白了原理,ntp的配置就不在困难了。这里面解决了一些疑问:1.如何查看ntp是否配置成功?2.如何了解ntp列出的参数的含义?3.restrict关键字的作用是什么?# watch ntpq -_ntpq -p详解

通信原理第五、六章_将基带信号转换成极性码,映射-程序员宅基地

文章浏览阅读1.8k次。写在前面:黄色部分,p是书上有公式的要动手写的部分及对应页码文章目录第五章:基带传输系统第六章二进制:M进制:第五章:基带传输系统:波形变换–>信道–>接受滤波器–>抽样判决数字基带信号:短距离有线传输,频谱范围WB 从直流或低频延伸至若干倍1/T数字载波调制信号:无线,光纤信道,经过调制实现信号频谱搬移基带信号码型的设计原则:1.不含直流分量2.高频分量少..._将基带信号转换成极性码,映射

疱疹性结膜炎_带状疱疹经历的四个阶段 知乎-程序员宅基地

文章浏览阅读404次。疱疹性结膜炎特征为角膜上皮呈树枝状病变,与树叶的叶脉相似,末端呈球形.早期症状为异物感,流泪,畏光和结膜充血,随着反复复发,角膜知觉减退或消失,结果可能引起角膜溃疡和永久性角膜瘢痕形成. 盘状角膜炎累及角膜基质,是角膜深层的盘状局限性水肿和混浊,伴有虹膜炎,常在上皮性角膜炎后发生.盘状角膜炎可能代表机体对病毒的免疫反应.不是由反复的单纯疱疹病毒引起的不愈合或愈合极慢的上皮性缺损,被称为无痛性溃疡._带状疱疹经历的四个阶段 知乎