SystemVerilog : covergroup和coverpoint-程序员宅基地

技术标签: coverpoint  SystemVerilog  SV  covergroup  

通用的covergroup(带参数的covergroup)

构建通用化的covergroup需要使用参数,在创建cg实例时,将需要的特征通过参数传递进入,从而创建符合特殊要求的cg。

这样就可以在例化时选择要采样的变量。这样可以使用同一个coverpoint语句对多个变量采样,只需要将covergroup例化多份。

covergroup cg_ref (ref bit [1:0] ref_test) ;
	ref_cp : coverpoint ref_test {
    
		bins ref_bins = {
    0,2};
	}
endgroup
calss A;
	rand bit [1:0] a1;
	rand bit [1:0] a2;
	rand bit [1:0] a3
endclass
module test;
	bit [1:0] mode;
	A a_inst = new();
	cg_ref cg_inst_1 = new(mode);
	cg_ref cg_inst_2 = new(a_inst.a1);
	cg_ref cg_inst_3 = new(a_inst.a2);
	initial begin
		repeat(5) begin
			mode = $random;
			a_inst.randomize();
			cg_inst_1.sample();
			cg_inst_2.sample();
			cg_inst_3.sample();
		end
	end
endmodule

几点限制:

  • 作为参数的变量的格式需要和参数是一致的。
  • 定义covergroup的时候传递的变量参数需要带上ref关键字,否则无法正确采样
  • 多个covergroup的实例的覆盖率会总结在一起,如果需要分开打印,需要在covergroup中加上option.per_instrance= 1。

也可以将一个类实例作为参数传递进去

calss A;
	rand bit [1:0] a1;
	rand bit [1:0] a2;
	rand bit [1:0] a3
endclass
covergroup cg_ref (ref  A  inst) ;
	ref_cp : coverpoint inst.a1 {
    
		bins ref_bins = {
    0,2};
	}
endgroup
module test;
	bit [1:0] mode;
	A a_inst = new();
	cg_ref cg_inst_1 = new(a_inst);
	initial begin
		repeat(5) begin
			a_inst.randomize();
			cg_inst_1.sample();
		end
	end
endmodule

这样最后会对实例a_inst中的a1变量进行采样。

此外上述的cg中的容器是一样的,每个cg实例最后能cover到的值范围是一致的,如果想改变cover的范围,就像下面一样做:

covergroup cg(ref int array, int low, int high ) @(clk);
coverpoint// sample variable passed by reference
{
    
bins s = {
     [low : high] };
}
endgroup

int A, B;
cg rgc1 = new( A, 0, 50 );// cover A in range 0 to 50
cg rgc2 = new( B, 120, 600 );// cover B in range 120 to 600

这里可以看出如果想传递coverpoint变量就得使用ref关键字,如果只是传递参数就不需要。

关于coverpoint中队列bins

不指定尺寸的队列型bins会为bins描述的值的个数创建对应个数的bins,每个bins监视一个值。
指定尺寸的队列型bins会产生指定个数的bins,会将描述的值均分到各个bins中

bit [2:0] data
covergroup cg_data;
	cp_data : coverpoint data {
    
		bins data_bins_1[ ] = {
    [$:4]}; 
		//会自动产生5个bins,每个bins包含一个数值,对应0,1,2,3,4
		
		bins data_bins_2[2] = {
    [2:4],[5:7]}; 
		// 限制了该队列只有两个容器,数值从2,3,4,5,6,7均分,第一个包含2,3,4,第二个包含5,6,7
		
		bins data_bins_3[3] = {
    [0:2], [4:7]};
		//该队列左边表达式有7个值,但要分到三个容器里,一般会把剩下的值放到最后的容器里
		//最终三个容器对应值为{0,1}, {2,4}, {5,6,7}
		
		bins data_bins_4[] = {
    [0:7]};
		bins data_bins_5   = {
    [0:7]};
		//上面两的结果是不同的,第一个会为0~7共8个值产生8个容器,每个容器对应不同的值,
		//这与自创建容器得到的结果一致
		//而第二个只会有一个容器,其值是一个范围[0:7],data落在这个范围则该容器被击中
		
		bins data_bins_6[] = ( 3,4 => 5,7 );
		//创建了四个transition bins,分别覆盖(3=>5),(3=>7), (4=>5),(4=>7)	
	}
endgroup

coverpoint的变量是枚举类型

coverpoint的变量需要时整数型的,自然也能对枚举类型的变量进行采样

typedef enum {
     A,B,C,D } alpha;
program main;
alpha y;
alpha values[$]= '{
    A,B,C};

covergroup cg;
cover_point_y : coverpoint y;
endgroup

cg cg_inst = new();
initial
foreach(values[i])
begin
y = values[i];
cg_inst.sample();
end

endprogram
Coverage report:
---------------------
VARIABLE : cover_point_y
Expected : 4
Covered : 3
Percent: 75.00

Uncovered bins
--------------------
auto_D

Covered bins
--------------------
auto_C
auto_B
auto_A

default bins

default bins所cover的值是coverpoint变量所没有在其它bins中出现过的值,比如下面例子中的default bins的cover值是y除[0:4]以外的值,default bins 不会被用于计算covergroup覆盖率值

program main;
bit [0:3] y;
bit [0:2] values[$]= '{
    3,5,6};

covergroup cg;
cover_point_y : coverpoint y {
    
bins a[2] = {
    [0:4]};
bins d = default;
}

endgroup

cg cg_inst = new();
initial
foreach(values[i])
begin
y = values[i];
cg_inst.sample();
end

endprogram

http://www.testbench.in/CO_09_TRANSITION_BINS.html
不同仿真器的覆盖率报告生成命令:
http://www.testbench.in/CO_04_COVER_POINTS.html

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_43249032/article/details/84872762

智能推荐

Docker 快速上手学习入门教程_docker菜鸟教程-程序员宅基地

文章浏览阅读2.5w次,点赞6次,收藏50次。官方解释是,docker 容器是机器上的沙盒进程,它与主机上的所有其他进程隔离。所以容器只是操作系统中被隔离开来的一个进程,所谓的容器化,其实也只是对操作系统进行欺骗的一种语法糖。_docker菜鸟教程

电脑技巧:Windows系统原版纯净软件必备的两个网站_msdn我告诉你-程序员宅基地

文章浏览阅读5.7k次,点赞3次,收藏14次。该如何避免的,今天小编给大家推荐两个下载Windows系统官方软件的资源网站,可以杜绝软件捆绑等行为。该站提供了丰富的Windows官方技术资源,比较重要的有MSDN技术资源文档库、官方工具和资源、应用程序、开发人员工具(Visual Studio 、SQLServer等等)、系统镜像、设计人员工具等。总的来说,这两个都是非常优秀的Windows系统镜像资源站,提供了丰富的Windows系统镜像资源,并且保证了资源的纯净和安全性,有需要的朋友可以去了解一下。这个非常实用的资源网站的创建者是国内的一个网友。_msdn我告诉你

vue2封装对话框el-dialog组件_<el-dialog 封装成组件 vue2-程序员宅基地

文章浏览阅读1.2k次。vue2封装对话框el-dialog组件_

MFC 文本框换行_c++ mfc同一框内输入二行怎么换行-程序员宅基地

文章浏览阅读4.7k次,点赞5次,收藏6次。MFC 文本框换行 标签: it mfc 文本框1.将Multiline属性设置为True2.换行是使用"\r\n" (宽字符串为L"\r\n")3.如果需要编辑并且按Enter键换行,还要将 Want Return 设置为 True4.如果需要垂直滚动条的话将Vertical Scroll属性设置为True,需要水平滚动条的话将Horizontal Scroll属性设_c++ mfc同一框内输入二行怎么换行

redis-desktop-manager无法连接redis-server的解决方法_redis-server doesn't support auth command or ismis-程序员宅基地

文章浏览阅读832次。检查Linux是否是否开启所需端口,默认为6379,若未打开,将其开启:以root用户执行iptables -I INPUT -p tcp --dport 6379 -j ACCEPT如果还是未能解决,修改redis.conf,修改主机地址:bind 192.168.85.**;然后使用该配置文件,重新启动Redis服务./redis-server redis.conf..._redis-server doesn't support auth command or ismisconfigured. try

实验四 数据选择器及其应用-程序员宅基地

文章浏览阅读4.9k次。济大数电实验报告_数据选择器及其应用

随便推点

灰色预测模型matlab_MATLAB实战|基于灰色预测河南省社会消费品零售总额预测-程序员宅基地

文章浏览阅读236次。1研究内容消费在生产中占据十分重要的地位,是生产的最终目的和动力,是保持省内经济稳定快速发展的核心要素。预测河南省社会消费品零售总额,是进行宏观经济调控和消费体制改变创新的基础,是河南省内人民对美好的全面和谐社会的追求的要求,保持河南省经济稳定和可持续发展具有重要意义。本文建立灰色预测模型,利用MATLAB软件,预测出2019年~2023年河南省社会消费品零售总额预测值分别为21881...._灰色预测模型用什么软件

log4qt-程序员宅基地

文章浏览阅读1.2k次。12.4-在Qt中使用Log4Qt输出Log文件,看这一篇就足够了一、为啥要使用第三方Log库,而不用平台自带的Log库二、Log4j系列库的功能介绍与基本概念三、Log4Qt库的基本介绍四、将Log4qt组装成为一个单独模块五、使用配置文件的方式配置Log4Qt六、使用代码的方式配置Log4Qt七、在Qt工程中引入Log4Qt库模块的方法八、获取示例中的源代码一、为啥要使用第三方Log库,而不用平台自带的Log库首先要说明的是,在平时开发和调试中开发平台自带的“打印输出”已经足够了。但_log4qt

100种思维模型之全局观思维模型-67_计算机中对于全局观的-程序员宅基地

文章浏览阅读786次。全局观思维模型,一个教我们由点到线,由线到面,再由面到体,不断的放大格局去思考问题的思维模型。_计算机中对于全局观的

线程间控制之CountDownLatch和CyclicBarrier使用介绍_countdownluach于cyclicbarrier的用法-程序员宅基地

文章浏览阅读330次。一、CountDownLatch介绍CountDownLatch采用减法计算;是一个同步辅助工具类和CyclicBarrier类功能类似,允许一个或多个线程等待,直到在其他线程中执行的一组操作完成。二、CountDownLatch俩种应用场景: 场景一:所有线程在等待开始信号(startSignal.await()),主流程发出开始信号通知,既执行startSignal.countDown()方法后;所有线程才开始执行;每个线程执行完发出做完信号,既执行do..._countdownluach于cyclicbarrier的用法

自动化监控系统Prometheus&Grafana_-自动化监控系统prometheus&grafana实战-程序员宅基地

文章浏览阅读508次。Prometheus 算是一个全能型选手,原生支持容器监控,当然监控传统应用也不是吃干饭的,所以就是容器和非容器他都支持,所有的监控系统都具备这个流程,_-自动化监控系统prometheus&grafana实战

React 组件封装之 Search 搜索_react search-程序员宅基地

文章浏览阅读4.7k次。输入关键字,可以通过键盘的搜索按钮完成搜索功能。_react search