使用 Verilog 实现排序_verilog 排序-程序员宅基地

技术标签: 排序  硬件  硬件学习  状态机  Verilog  排序数据通路设计  FPGA硬件设计  

实现目标

输入 N = 4 N=4 N=4 个数,通过简单选择排序,对这个四个数进行简单选择排序:每次选择最小的,将最小的数移到起始位置,之后输出。这是同步时序电路和状态机的高级应用。

伪代码

设输入 N N N 个数,将其存在寄存器数组 R R R 中;两个循环变量 i , j i,j i,j;将每次遍历到的数分别赋值给 A , B A,B A,B
简单选择排序的伪代码可以描述如下:

for i = 0 to N-2 do
	A = R[i];
	for j = i to N-1 do
		B = R[j];
		if B < A then
			R[i] = B;
			R[j] = A;
			A = R[i];
		end if;
	end for;
end for;

数据通路设计

主要牵涉到两大块结构:

  • 状态机(控制逻辑)的设计
  • 数据存储的设计

状态机的设计

总共设置9个状态,来执行完成该设计。状态图如下:
在这里插入图片描述

数据存储

设置4个 n n n bit 的寄存器,用来存储输入数据,至于存储的地址(寄存器编号)则作为输入端口,由用户给出。存储器的设计不光要照顾到对输入数据的存储,还需要对每次循环迭代到的 A , B A,B A,B 进行存储,并且 A , B A,B A,B 还需要写回,尤其当 B < A B<A B<A 成立的时候,需要交换写回。所以还需要设置两个 n n n bit 寄存器用来存储 A , B A,B A,B

至于写回的地址设置,则需要根据判断条件给出。设计的存储模块如下:
在这里插入图片描述
其中有很多信号量,将会在代码里给出注释。

代码编写

编写的 Verilog 代码如下:

module sort (
	input			clk		,
	input			rst_n	,
	input			s		,
	input			WrInit	,
	input	[1:0]	RAdd	,
	input	[15:0]	DataIn	,
	input			Rd		,

	output	[15:0]	DataOut	,
	output	reg		Done
);

	localparam	[3:0]	S1 = 4'b0000,
						S2 = 4'b0001,
						S3 = 4'b0010,
						S4 = 4'b0011,
						S5 = 4'b0100,
						S6 = 4'b0101,
						S7 = 4'b0110,
						S8 = 4'b0111,
						S9 = 4'b1000;
	// 表示两个循环变量
	wire [1:0] Ci, Cj;
	wire [1:0] CMux, IMux;
	// CMus is Ci or Cj 
	// IMux is the read adder
	wire [15:0] R0, R1, R2, R3, A, B, RData, ABMux;

	// A B 的大小判断和循环变量是否达到上界
	wire BltA, zi, zj;

	reg Int, Csel, Wr, Ain, Bin, Bout; 
	reg LI, LJ, EI, EJ; // ports for count
	reg Rin0, Rin1, Rin2, Rin3; // enable for regs

	reg [15:0] ABData;
	
	reg [3:0] curr_state;
	reg [3:0] next_state;
	always@(posedge clk or negedge rst_n) begin:state_ff
		if (!rst_n) curr_state <= S1;
		else		curr_state <= next_state;
	end
	
	always@(*) begin: state_table
		Int = 1; Done = 0; Csel = 0; Wr = 0; Ain = 0; Bin = 0; Bout = 0;
		LI = 0; LJ = 0; EI = 0; EJ = 0; 
		case(curr_state) 
			S1: begin
				LI = 1;  // make Ci be 0 
				Int = 0; // indicate the initial process
				if (s == 0) next_state = S1;
				else next_state = S2;
			end
			S2: begin
				//Int = 1;
				//Csel = 0; // 
				Ain = 1; // make A  be R[Ci]
				LJ = 1;  // make Cj be 0
				next_state = S3;
			end
			S3: begin
				EJ = 1; // make Cj = Cj + 1
				next_state = S4;
			end
			S4: begin
				Bin  = 1; // make B be R[Cj]
				Csel = 1; // 
				//Wr   = 1; // write enable
				next_state = S5;
			end
			S5: begin
				if (BltA) next_state = S6;
				else next_state = S8;
			end
			S6: begin
				Csel = 1;
				Wr = 1;
				next_state = S7;
			end
			S7: begin
				Wr = 1;
				Bout = 1;
				next_state = S8;
			end
			S8: begin
				Ain = 1;
				if (!zj) begin     // Cj < 3
					EJ = 1;
					EI = 0;
					next_state = S4;
				end
				else if (!zi) begin // Ci < 2
					EJ = 0;
					EI = 1;
					next_state = S2;
				end
				else 
					next_state = S9;
			end
			S9: begin
				Done = 1;
				if (s) next_state = S9;
				else next_state = S1;
			end
		endcase

	end
	
	// Instance Modules
	
	reg16 Reg0 (clk, rst_n, RData, Rin0, R0);
	reg16 Reg1 (clk, rst_n, RData, Rin1, R1);
	reg16 Reg2 (clk, rst_n, RData, Rin2, R2);
	reg16 Reg3 (clk, rst_n, RData, Rin3, R3);
	
	reg16 RegA (clk, rst_n, ABData, Ain, A);
	reg16 RegB (clk, rst_n, ABData, Bin, B);

	upcount OuterLoop (clk, EI, 2'b0, LI, Ci);
	upcount InnerLoop (clk, EJ,   Ci, LJ, Cj);
	
	assign BltA = (B < A) ? 1 : 0;
	
	// when BltA == 1 then Bout will be 1
	assign ABMux = (Bout   == 0) ? A      : B;
	assign RData = (WrInit == 1) ? DataIn : ABMux;

	// CMux decides the writing order of A and B
	assign CMux = (Csel == 0) ? Ci   : Cj;
	assign IMux = (Int  == 1) ? CMux : RAdd;
	
	assign zi = (Ci == 2);
	assign zj = (Cj == 3);

	// the regs' reading and writing
	always@(*) begin
		// choose the regs out
		case(IMux)
			0: ABData = R0;
			1: ABData = R1;
			2: ABData = R2;
			3: ABData = R3;
		endcase

		// the write enable is valid
		// WrInit for initial and Wr for the write back of A or B 
		if (WrInit || Wr) begin
			case (IMux)
				0: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0001;
				1: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0010;
				2: {
    Rin3, Rin2, Rin1, Rin0} = 4'b0100;
				3: {
    Rin3, Rin2, Rin1, Rin0} = 4'b1000;
			endcase
		end
		else {
    Rin3, Rin2, Rin1, Rin0} = 4'b0000;

	end
	// rd read data 信号有效时,将四个寄存器的数据依次读出(需要仿真给予激励信号)
	assign DataOut = (Rd == 0) ? 'bz : ABData;


endmodule
// 定义的 16 bit 寄存器
module reg16 (
	input				clk		,
	input				rst_n	,
	input		[15:0]	D		,
	input 				en		,
	output	reg	[15:0]	Q
);

	always@(posedge clk or negedge rst_n) begin
		if (!rst_n) 
			Q <= 16'b0;
		else if (en)
			Q <= D;
		else
			Q <= Q;
	end

endmodule
// 定义的计数器,带使能、清零、起始值
module upcount (
	input 				clk		,
	input				en		,
	input		[1:0]	init	,
	input				clear	,
	output	reg	[1:0]	Q
);

	always@(posedge clk) begin
		if (clear)
			Q <= init;
		else if (en) 
			Q <= Q + 1;
		else
			Q <= Q;
	end

endmodule

仿真结果如下图所示:
在这里插入图片描述
在这里插入图片描述

附上仿真代码:

module sort_tb;

reg clk;
reg rst_n;
reg s;
reg WrInit;
reg [1:0] RAdd;
reg [15:0] DataIn;
reg Rd;

wire [15:0] DataOut;
wire Done;


initial begin
	clk = 0;
	forever begin
		#5 clk = ~clk;
	end
end

initial begin
	rst_n = 0;
	#20 rst_n = 1;
	WrInit = 1;
	DataIn = 3;
	s = 0;
	RAdd = 0;
	Rd = 0;
	#10 DataIn = 2;
	RAdd = 1;
	#10 DataIn = 4;
	RAdd = 2;
	#10 DataIn = 1;
	RAdd = 3;
	#10 WrInit = 0;
	DataIn = 0;
	RAdd = 0;
	s = 1;
	#1200 Rd = 1;
	s = 0;
	RAdd = 0;
	#10 RAdd = 1;
	#10 RAdd = 2;
	#10 RAdd = 3;
	#10 RAdd = 0;
	#100 $finish;
end

initial begin
	$vcdpluson;
end

sort ssort (
	.clk(clk),
	.rst_n(rst_n),
	.s(s),
	.WrInit(WrInit),
	.RAdd(RAdd),
	.DataIn(DataIn),
	.Rd(Rd),

	.DataOut(DataOut),
	.Done(Done)

);
endmodule

有错误欢迎指出。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/wangbowj123/article/details/117624834

智能推荐

while循环&CPU占用率高问题深入分析与解决方案_main函数使用while(1)循环cpu占用99-程序员宅基地

文章浏览阅读3.8k次,点赞9次,收藏28次。直接上一个工作中碰到的问题,另外一个系统开启多线程调用我这边的接口,然后我这边会开启多线程批量查询第三方接口并且返回给调用方。使用的是两三年前别人遗留下来的方法,放到线上后发现确实是可以正常取到结果,但是一旦调用,CPU占用就直接100%(部署环境是win server服务器)。因此查看了下相关的老代码并使用JProfiler查看发现是在某个while循环的时候有问题。具体项目代码就不贴了,类似于下面这段代码。​​​​​​while(flag) {//your code;}这里的flag._main函数使用while(1)循环cpu占用99

【无标题】jetbrains idea shift f6不生效_idea shift +f6快捷键不生效-程序员宅基地

文章浏览阅读347次。idea shift f6 快捷键无效_idea shift +f6快捷键不生效

node.js学习笔记之Node中的核心模块_node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是-程序员宅基地

文章浏览阅读135次。Ecmacript 中没有DOM 和 BOM核心模块Node为JavaScript提供了很多服务器级别,这些API绝大多数都被包装到了一个具名和核心模块中了,例如文件操作的 fs 核心模块 ,http服务构建的http 模块 path 路径操作模块 os 操作系统信息模块// 用来获取机器信息的var os = require('os')// 用来操作路径的var path = require('path')// 获取当前机器的 CPU 信息console.log(os.cpus._node模块中有很多核心模块,以下不属于核心模块,使用时需下载的是

数学建模【SPSS 下载-安装、方差分析与回归分析的SPSS实现(软件概述、方差分析、回归分析)】_化工数学模型数据回归软件-程序员宅基地

文章浏览阅读10w+次,点赞435次,收藏3.4k次。SPSS 22 下载安装过程7.6 方差分析与回归分析的SPSS实现7.6.1 SPSS软件概述1 SPSS版本与安装2 SPSS界面3 SPSS特点4 SPSS数据7.6.2 SPSS与方差分析1 单因素方差分析2 双因素方差分析7.6.3 SPSS与回归分析SPSS回归分析过程牙膏价格问题的回归分析_化工数学模型数据回归软件

利用hutool实现邮件发送功能_hutool发送邮件-程序员宅基地

文章浏览阅读7.5k次。如何利用hutool工具包实现邮件发送功能呢?1、首先引入hutool依赖<dependency> <groupId>cn.hutool</groupId> <artifactId>hutool-all</artifactId> <version>5.7.19</version></dependency>2、编写邮件发送工具类package com.pc.c..._hutool发送邮件

docker安装elasticsearch,elasticsearch-head,kibana,ik分词器_docker安装kibana连接elasticsearch并且elasticsearch有密码-程序员宅基地

文章浏览阅读867次,点赞2次,收藏2次。docker安装elasticsearch,elasticsearch-head,kibana,ik分词器安装方式基本有两种,一种是pull的方式,一种是Dockerfile的方式,由于pull的方式pull下来后还需配置许多东西且不便于复用,个人比较喜欢使用Dockerfile的方式所有docker支持的镜像基本都在https://hub.docker.com/docker的官网上能找到合..._docker安装kibana连接elasticsearch并且elasticsearch有密码

随便推点

Python 攻克移动开发失败!_beeware-程序员宅基地

文章浏览阅读1.3w次,点赞57次,收藏92次。整理 | 郑丽媛出品 | CSDN(ID:CSDNnews)近年来,随着机器学习的兴起,有一门编程语言逐渐变得火热——Python。得益于其针对机器学习提供了大量开源框架和第三方模块,内置..._beeware

Swift4.0_Timer 的基本使用_swift timer 暂停-程序员宅基地

文章浏览阅读7.9k次。//// ViewController.swift// Day_10_Timer//// Created by dongqiangfei on 2018/10/15.// Copyright 2018年 飞飞. All rights reserved.//import UIKitclass ViewController: UIViewController { ..._swift timer 暂停

元素三大等待-程序员宅基地

文章浏览阅读986次,点赞2次,收藏2次。1.硬性等待让当前线程暂停执行,应用场景:代码执行速度太快了,但是UI元素没有立马加载出来,造成两者不同步,这时候就可以让代码等待一下,再去执行找元素的动作线程休眠,强制等待 Thread.sleep(long mills)package com.example.demo;import org.junit.jupiter.api.Test;import org.openqa.selenium.By;import org.openqa.selenium.firefox.Firefox.._元素三大等待

Java软件工程师职位分析_java岗位分析-程序员宅基地

文章浏览阅读3k次,点赞4次,收藏14次。Java软件工程师职位分析_java岗位分析

Java:Unreachable code的解决方法_java unreachable code-程序员宅基地

文章浏览阅读2k次。Java:Unreachable code的解决方法_java unreachable code

标签data-*自定义属性值和根据data属性值查找对应标签_如何根据data-*属性获取对应的标签对象-程序员宅基地

文章浏览阅读1w次。1、html中设置标签data-*的值 标题 11111 222222、点击获取当前标签的data-url的值$('dd').on('click', function() { var urlVal = $(this).data('ur_如何根据data-*属性获取对应的标签对象

推荐文章

热门文章

相关标签