Modelsim是一款仿真软件,可对VHDL 和Verilog HDL两种语言进行混合仿真。
前仿真:功能仿真,不考虑门电路延时与线延时,主要是验证电路与理想情况是否一致。
后仿真: 时序仿真(布线后仿真),电路在实际应用中的工作仿真,考虑门电路延时与线延时,能反映芯片的实际工作情况。
打开Quartus II 软件
关联modlesim软件
配置工程仿真软件
现在Quartus II 和Modelsim 软件已经关联在一起了。
生成后的vt文件:
修改后
// Copyright (C) 1991-2013 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions
// and other software and tools, and its AMPP partner logic
// functions, and any output files from any of the foregoing
// (including device programming or simulation files), and any
// associated documentation or information are expressly subject
// to the terms and conditions of the Altera Program License
// Subscription Agreement, Altera MegaCore Function License
// Agreement, or other applicable license agreement, including,
// without limitation, that your use is for the sole purpose of
// programming logic devices manufactured by Altera and sold by
// Altera or its authorized distributors. Please refer to the
// applicable agreement for further details.
// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to
// suit user's needs .Comments are provided in each section to help the user
// fill out necessary details.
// *****************************************************************************
// Generated on "02/10/2022 09:24:50"
// Verilog Test Bench template for design : emg_fpga_top
//
// Simulation tool : ModelSim (Verilog)
//
`timescale 1 ns/ 1 ns
module emg_fpga_top_vlg_tst();
// constants
// general purpose registers
//reg eachvec; 没用的信号
// test vector input registers
reg Ads_irq_8;
reg SPI_miso;
reg Start_data_flag;
reg sys_clk;
reg sys_rst_n;
// wires
wire SPI_cs_n;
wire SPI_mosi;
wire SPI_sck;
wire led_b;
wire led_g;
wire led_r;
wire uart_txd;
// assign statements (if any)
emg_fpga_top i1 (
// port map - connection between master ports and signals/registers
.Ads_irq_8(Ads_irq_8),
.SPI_cs_n(SPI_cs_n),
.SPI_miso(SPI_miso),
.SPI_mosi(SPI_mosi),
.SPI_sck(SPI_sck),
.Start_data_flag(Start_data_flag),
.led_b(led_b),
.led_g(led_g),
.led_r(led_r),
.sys_clk(sys_clk),
.sys_rst_n(sys_rst_n),
.uart_txd(uart_txd)
);
initial begin //付初值
sys_clk=1'b0; //系统时钟付初值
sys_rst_n=1'b0; //复位信号付初值
#100 sys_rst_n=1'b1;//延时100ns,把复位信号拉高
#1000 $stop; //程序仿真时运行1000ns,停止仿真
end
//产生时钟翻转信号
always #10 sys_clk=~sys_clk;//系统时钟是50mhz,周期是20ns,那么就是没10ns,时钟翻转一次
endmodule
接下来就可以仿真了
这里我选择功能仿真,modelsim软件会自动打开进入这个界面
这里我选择时序仿真,modelsim软件会自动打开进入这个界面
《Reinforcement Learning: State-of-the-Art》 第三章 Least-Squares Methods for Policy Iteration 第五节 举例说明最小二乘法对策略迭代的行为。将离线LSPI和在线乐观LSPI两种方法,应用于car-on-the-hill问题(Moore和Atkeson,1995),这是近似强化学习的经典benchmark。由...
题目链接:https://vijos.org/p/1165题意:输入n(n <= 20,000)段线段的端点,问所有线段的长度总和为多少?input:3-1 15 112 9output:11思路:将左右端点分成一个一个的点,并且标记输入的id.即弄成一个pair;排序之后模拟加点,左端点直接入栈,右端点若是栈顶端点对应的右端点时,栈顶元素出栈,那这时是否...
我的上一篇关于解决cocos2d添加项目模版的帖子,今天我在公司环境使用时,发现不行,我明白了那个方法的局限性。搜了一下,cocos2d-x 2.1.4以后,都用phthon建立项目,虽然不习惯,但是这个方便跨平台,现转一篇文章转自:http://blog.csdn.net/jackystudio/article/details/12419387现在cocos2d-x处在上
前段时间,有位朋友的弟弟也想从事“程序开发“这份职业,托人问到了我,应该看些什么书籍? 很多新入门的小白同学都有这样的疑惑,统一做一篇推荐博文,希望可以帮助到有需要的人。 分类:首先还是需要分下类,如果你是类似于我朋友弟弟,非科班出身,那么你需要先学习一些必要的入门书籍夯实下基础。如果你是科班出身,或者目前正在就读相关专业,可以跳过第一段。 一、大体了解(非科班出身必读) 计算机概论:泛读即可。 ...
Feign 的实战运用Feign 默认Client 的替换Feign 在默认情况下使用的是 JDK 原生的 URLConnection 发送 http 请求,没有连接池,但是每个地址会保持一个长连接,即利用 http 的 persistence Connection我们可以使用 Apache 的 HttpClient 替换掉 Feign 原生的 HttpClient,通过设置连接池,超时时...
使用subprocess.py报in __init__ restore_signals, start_new_session的错误今天在运行Python代码的时候遇到subprocess.py报错:File "D:\code\video.py", line 76, in _parse_infos proc = sp.Popen(cmd, **popen_params)File "D:\Program Files\Python36\lib\subprocess.py", line 709
1、错误内容BusyBox v1.27.2 (ubuntu 1:1.27.2-2ubuntu3) built-in shell (ash)Enter 'help ' for a list ofbuilt-in commands .2、错误分析BusyBox 是一个集成了最常用Linux命令和工具的软件,而以上报错提示:在 /dev/sda1 出现了文件系统的错误,需要用fsck进行手动修复。3、解决方法命令:# 修复文件系统错误(initramfs)fsck -y /
机器学习——特征工程之数据相关性前言图表相关性协方差和协方差矩阵代码实现相关系数1、皮尔逊相关系数( Pearson correlation coefficient)2、斯皮尔曼相关性系数、秩相关系数(spearman correlation coefficient)3、Kendall Rank(肯德尔等级)相关系数信息熵和互信息前言在样本属性很多的数据集中,一定会存在一些与标签关系不那么强的...
java-数据结构-哈夫曼编码概念哈夫曼编码(Huffman Coding),又称霍夫曼编码,是一种编码方式,哈夫曼编码是可变字长编码(VLC)的一种。Huffman于1952年提出一种编码方法,该方法完全依据字符出现概率来构造异字头的平均长度最短的码字,有时称之为最佳编码,一般就叫做Huffman编码(有时也称为霍夫曼编码)。代码package com.huffmancode;imp...
本文介绍 RabbitMQ 集群的 Docker 化部署,最开始是想通过 DockerSwarm 方式来部署的,但是 RabbitMQ 节点加入集群时一直失败,在网上找了很多办法,始终没有解决这个问题,无奈只能放弃。所以最终采用配置 hosts 文件方式来保证节点之间的通信,下面来进行详细说明。部署环境系统:CentOS8两台服务器:10.1.1.1/10.1.1.2docker-compose 文件version: '3'services: rabbit1: containe
fileupload.jsp :Insert title herestruts.xml :<!DOCTYPE struts PUBLIC "-//Apache Software Foundation//DTD Struts Configuration 2.1.7//EN" "http://struts.apache.org/dtds/s
1、最小项的定义及其性质2、逻辑函数的最小项表达式3、用卡诺图表示逻辑函数4、用卡诺图化简逻辑函数5、含无关项的逻辑函数及其化简...