[FPGA开发工具使用总结]VIVADO在线调试(1)-信号抓取工具的使用_vivado编译提示找不到ltx文件-程序员宅基地

技术标签: FPGA开发工具使用总结  fpga开发  

1简介

在FPGA开发过程中,实时抓取信号进行观测是一种必不可少的问题分析手段。通常厂家会提供一种通过JTAG互联,逻辑资源定制的实时记录信号的调试手段。
通过阅读本文您可以了解到针对VIVADO开发工具的在线分析工具的使用方法。例如,如何添加被测信号,如何准确的观测到被测信号的典型现象,以及通常会遇到的问题等。

2 添加观测信号的几种方法

2.1 通过定制IP核添加

VIVADO提供了一个用于实时抓取信号的IP核,名为ILA(内部逻辑分析仪),用户使用时可在IP Catalog中直接搜索ila进行定制。
在这里插入图片描述
ILA core的定制非常简单,通常仅需如下配置即可满足需求。
在这里插入图片描述在这里插入图片描述
在使用ILA Core时需要注意,输入被测信号的位宽可以小于等于ILA Core端口的位宽,但是不能使ILA Core端口为空,否则布局布线会失败。
在遇到难以解决的问题时,一个工程中会添加很多的ILA core,此时如果单独定制每一个Core会增加许多繁冗的工作,可先定制一个输入端口与位宽足够的Core,然后按需例化。
如下图是在某个工程中被调用的ILA Core,在调试时打开宏将ILA例化到工程中进行调试,完成调试后通过关闭宏屏蔽掉ILA,该方法可以避免在完成调试后删除ILA过程中的修改引入不必要的BUG。
在这里插入图片描述

2.2 通过约束文件添加

通过编写XDC约束文件,亦可实现ILA添加,添加ILA Core的XDC示例文件如下所示。
在这里插入图片描述
使用此种方式需要对约束脚本非常熟悉。若不熟悉约束脚本的编写也可通过GUI界面生成约束文件;2.3节将介绍如何生成DEBUG的约束文件。

2.3 通过GUI生成DEBUG约束文件

使用GUI生成约束文件需要先完成工程综合,然后在FlowNavigator窗口中打开Synthesized –>Open Synthesized Design –> Set Up Debug,等待加载设计。
在这里插入图片描述
被测信号筛选及添加,综合后原来的信号名基本都会发生变化,可以在信号名的后面带上*以便准确的匹配到。
在这里插入图片描述
在这里插入图片描述
若想被测信号名称在综合时不被优化掉,可以在综合之前在代码中设置约束。添加mark_debug约束后,被测信号可以很方便的被筛选出来。
在这里插入图片描述
添加完信号后设置采样深度等。
在这里插入图片描述
完成后可以在底部的Debug窗口看到添加的被观测信号,到这一步还没结束,还需要保存刚才生成的约束才可以重新编译。
在这里插入图片描述
直接在Synthesized Design界面中按ctrl+s即可保存刚才生成的约束,弹出下图界面及保存成功。系统会默认保存到target的xdc文件中。推荐用一个专门的XDC文件来保存DEBUG相关约束。
在这里插入图片描述

2.4 两种方法的优点与缺点

VIVADO提供了通过IP Core核约束的两种方式添加在线分析逻辑的方法,两种方法优缺点如下所示。

优点 缺点
通过IP Core添加 IP Core自带网表,节省编译时间;在代码中直接例化,能够快速搭建测试平台。 对跨模块的信号不太友好,代码改动较大。
通过约束添加 方便跨模块信号观测;不在功能代码中做修改,方便完成调试后的代码整理。 编译较慢。

3在线调试方法

3.1 器件扫描设置

VIVADO器件扫描在Flow Navigator 窗口中Open Hardware Manager -> Open Target –>Auto Connect打开。
在这里插入图片描述
鼠标右键单击器件信号选择Program Device下载程序(bit文件)。VIVADO也支持将程序(mcs文件)烧写到FLASH启动然后添加LTX文件启动在线调试。
在这里插入图片描述
LTX文件在工程路径下/.runs/impl_1/debug_nets.ltx或者.ltx(*为工程名),这两个文件是一样的。烧写完成后会自动刷新出在线DEBUG的窗口,如果没有刷新,可以鼠标右键单击器件信号选择Refresh Device进行手动刷新。
在这里插入图片描述
在线调试的界面如下,在大部分的调试过程中,需要用到的窗口有Waveform、Settings、Trigger Setup、Status等几个窗口。
在这里插入图片描述

3.2 触发条件设置

触发条件设置如下。
在这里插入图片描述

3.3 触发窗口设置

想要准确的观察到信号的变化情况,选择合适的触发窗口非常重要。通常可按如下推荐设置。
在这里插入图片描述

3.4 采样过程控制

用户可以通过Status窗口控制采样过程,每次采样需要经过空闲->预触发->等待触发->后触发->满(完成)等几个状态才能观察信号。整个过程会在status窗口中显示出来。
在这里插入图片描述
用户可以通过如下所示的按钮控制采样。
在这里插入图片描述
此处仅介绍了普通模式的触发方式,更详细的使用方式请参考Xilinx官方的文档UG936

4常见问题

4.1 时钟域的选择

Xilinx的FPGA器件内部有区域时钟、全局时钟、本地时钟等各种类型的时钟信号,在选择采样时钟时应充分考虑布局布线是否能够通过。通常推荐使用全局时钟作为采样时钟,此外若被测信号比较多时也推荐使用全局时钟。当必须使用区域时钟时,布局布线的通过率就必须考虑到。
在通过约束添加DEBUG信号时,需要注意的是,不同时钟采样的被测信号会被放置到不同的观测窗口中,不太容易做到同一时刻采样。也不能进行对比观察。

4.2 缺少LTX文件

如果烧写BIT文件后弹出出现如下界面,则是由于找不到LTX文件导致的。只需根据提示手动添加LTX文件即可。如果是通过FLASH启动,也会弹出如下界面。
在这里插入图片描述

4.3 ILA无时钟

如果烧写BIT文件后不能正常弹出DEBUG窗口,手动刷新也没有时,观察Tcl Console窗口是有如下错误,则有很大可能性是没有采样时钟导致(不排除其他因素)。
在这里插入图片描述

参考文档

  1. ug936-vivado-tutorial-programming-debugging
  2. pg172-ila
版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_30324203/article/details/130432599

智能推荐

攻防世界_难度8_happy_puzzle_攻防世界困难模式攻略图文-程序员宅基地

文章浏览阅读645次。这个肯定是末尾的IDAT了,因为IDAT必须要满了才会开始一下个IDAT,这个明显就是末尾的IDAT了。,对应下面的create_head()代码。,对应下面的create_tail()代码。不要考虑爆破,我已经试了一下,太多情况了。题目来源:UNCTF。_攻防世界困难模式攻略图文

达梦数据库的导出(备份)、导入_达梦数据库导入导出-程序员宅基地

文章浏览阅读2.9k次,点赞3次,收藏10次。偶尔会用到,记录、分享。1. 数据库导出1.1 切换到dmdba用户su - dmdba1.2 进入达梦数据库安装路径的bin目录,执行导库操作  导出语句:./dexp cwy_init/[email protected]:5236 file=cwy_init.dmp log=cwy_init_exp.log 注释:   cwy_init/init_123..._达梦数据库导入导出

js引入kindeditor富文本编辑器的使用_kindeditor.js-程序员宅基地

文章浏览阅读1.9k次。1. 在官网上下载KindEditor文件,可以删掉不需要要到的jsp,asp,asp.net和php文件夹。接着把文件夹放到项目文件目录下。2. 修改html文件,在页面引入js文件:<script type="text/javascript" src="./kindeditor/kindeditor-all.js"></script><script type="text/javascript" src="./kindeditor/lang/zh-CN.js"_kindeditor.js

STM32学习过程记录11——基于STM32G431CBU6硬件SPI+DMA的高效WS2812B控制方法-程序员宅基地

文章浏览阅读2.3k次,点赞6次,收藏14次。SPI的详情简介不必赘述。假设我们通过SPI发送0xAA,我们的数据线就会变为10101010,通过修改不同的内容,即可修改SPI中0和1的持续时间。比如0xF0即为前半周期为高电平,后半周期为低电平的状态。在SPI的通信模式中,CPHA配置会影响该实验,下图展示了不同采样位置的SPI时序图[1]。CPOL = 0,CPHA = 1:CLK空闲状态 = 低电平,数据在下降沿采样,并在上升沿移出CPOL = 0,CPHA = 0:CLK空闲状态 = 低电平,数据在上升沿采样,并在下降沿移出。_stm32g431cbu6

计算机网络-数据链路层_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输-程序员宅基地

文章浏览阅读1.2k次,点赞2次,收藏8次。数据链路层习题自测问题1.数据链路(即逻辑链路)与链路(即物理链路)有何区别?“电路接通了”与”数据链路接通了”的区别何在?2.数据链路层中的链路控制包括哪些功能?试讨论数据链路层做成可靠的链路层有哪些优点和缺点。3.网络适配器的作用是什么?网络适配器工作在哪一层?4.数据链路层的三个基本问题(帧定界、透明传输和差错检测)为什么都必须加以解决?5.如果在数据链路层不进行帧定界,会发生什么问题?6.PPP协议的主要特点是什么?为什么PPP不使用帧的编号?PPP适用于什么情况?为什么PPP协议不_接收方收到链路层数据后,使用crc检验后,余数为0,说明链路层的传输时可靠传输

软件测试工程师移民加拿大_无证移民,未受过软件工程师的教育(第1部分)-程序员宅基地

文章浏览阅读587次。软件测试工程师移民加拿大 无证移民,未受过软件工程师的教育(第1部分) (Undocumented Immigrant With No Education to Software Engineer(Part 1))Before I start, I want you to please bear with me on the way I write, I have very little gen...

随便推点

Thinkpad X250 secure boot failed 启动失败问题解决_安装完系统提示secureboot failure-程序员宅基地

文章浏览阅读304次。Thinkpad X250笔记本电脑,装的是FreeBSD,进入BIOS修改虚拟化配置(其后可能是误设置了安全开机),保存退出后系统无法启动,显示:secure boot failed ,把自己惊出一身冷汗,因为这台笔记本刚好还没开始做备份.....根据错误提示,到bios里面去找相关配置,在Security里面找到了Secure Boot选项,发现果然被设置为Enabled,将其修改为Disabled ,再开机,终于正常启动了。_安装完系统提示secureboot failure

C++如何做字符串分割(5种方法)_c++ 字符串分割-程序员宅基地

文章浏览阅读10w+次,点赞93次,收藏352次。1、用strtok函数进行字符串分割原型: char *strtok(char *str, const char *delim);功能:分解字符串为一组字符串。参数说明:str为要分解的字符串,delim为分隔符字符串。返回值:从str开头开始的一个个被分割的串。当没有被分割的串时则返回NULL。其它:strtok函数线程不安全,可以使用strtok_r替代。示例://借助strtok实现split#include <string.h>#include <stdio.h&_c++ 字符串分割

2013第四届蓝桥杯 C/C++本科A组 真题答案解析_2013年第四届c a组蓝桥杯省赛真题解答-程序员宅基地

文章浏览阅读2.3k次。1 .高斯日记 大数学家高斯有个好习惯:无论如何都要记日记。他的日记有个与众不同的地方,他从不注明年月日,而是用一个整数代替,比如:4210后来人们知道,那个整数就是日期,它表示那一天是高斯出生后的第几天。这或许也是个好习惯,它时时刻刻提醒着主人:日子又过去一天,还有多少时光可以用于浪费呢?高斯出生于:1777年4月30日。在高斯发现的一个重要定理的日记_2013年第四届c a组蓝桥杯省赛真题解答

基于供需算法优化的核极限学习机(KELM)分类算法-程序员宅基地

文章浏览阅读851次,点赞17次,收藏22次。摘要:本文利用供需算法对核极限学习机(KELM)进行优化,并用于分类。

metasploitable2渗透测试_metasploitable2怎么进入-程序员宅基地

文章浏览阅读1.1k次。一、系统弱密码登录1、在kali上执行命令行telnet 192.168.26.1292、Login和password都输入msfadmin3、登录成功,进入系统4、测试如下:二、MySQL弱密码登录:1、在kali上执行mysql –h 192.168.26.129 –u root2、登录成功,进入MySQL系统3、测试效果:三、PostgreSQL弱密码登录1、在Kali上执行psql -h 192.168.26.129 –U post..._metasploitable2怎么进入

Python学习之路:从入门到精通的指南_python人工智能开发从入门到精通pdf-程序员宅基地

文章浏览阅读257次。本文将为初学者提供Python学习的详细指南,从Python的历史、基础语法和数据类型到面向对象编程、模块和库的使用。通过本文,您将能够掌握Python编程的核心概念,为今后的编程学习和实践打下坚实基础。_python人工智能开发从入门到精通pdf

推荐文章

热门文章

相关标签