AD9361参数设置总结_ad9361配置-程序员宅基地

技术标签: AD9364  AD9361  软件无线电  

作者邮箱:[email protected]

5 AD9361 参考设计说明(PS侧软件部分)

5.1 AD9361 no-OS Software 概述

AD9361 no-OS Software是ADI公司提供的AD9361的软件部分,运行在CPU(也就是Zynq的ARM)中,该程序为裸机程序(即无操作系统的程序),可以AD9361各个参数进行配置,对PL中的一些寄存器进行读写,控制发送数据源,控制DMAC(DMA控制器)对发送和接收的数据进行传输,从而实现AD9361的基本功能:对数据的接收、处理和发送。整个程序是使用C语言来完成的。

5.2 AD9361 no-OS Software 顶层目录说明

在ADI官网可以下载到no-OS-Software的源码,下载到的源码包含了很多ADI的收发器,
 列出的是AD9361的no-OS-Software中的文件和文件夹目录结构

目录 子文件 解释说明

console_commands command.h 、command.c
console.h、console.c
1 2

platform_altera Altera平台的相关文件(使用Xilinx平台无需该文件)
platform_generic 通用平台的相关文件(使用Xilinx平台无需该文件)
platform_linux Linux平台相关的文件(使用Xilinx平台无需该文件)
platform_xilinx adc_core.h、adc_core.c 模数转换模块控制文件,包括模块的初始化和数据传输等
dac_core.h、dac_core.c 模数转换模块控制文件,包括模块的初始化和数据传输等
Platform.c、platform.h Xilinx平台一些驱动文件
parameters.h 以上文件所用到的参数的宏定义文件
ad9361.c AD9361的驱动文件,比如增益控制函数等
ad9361.h
ad9361_api.c AD9361应用编程接口驱动文件,比如AD9361的初始化函数
ad9361_api.h
common.h 通用驱动文件,包含时钟结构体和通用宏定义
config.h AD9361和 AD9361 API的配置文件
main.c 整个软件部分的main函数文件
util.c util驱动文件
util.h
| | |
表 1 AD9361 no-OS-Software源码目录结构

5.3 main.c文件

main.c文件是main函数所在文件,是整个程序的入口。
main.c文件的开头是需要条件编译的头文件和宏定义。

/****************************Include Files ***********************************/

#include "config.h"
#include "ad9361_api.h"
#include "parameters.h"
#include "platform.h"
#ifdef CONSOLE_COMMANDS
#include "command.h"
#include "console.h"
#endif
#ifdef XILINX_PLATFORM
#include <xil_cache.h>
#endif
#if defined XILINX_PLATFORM || defined LINUX_PLATFORM
#include "adc_core.h"
#include "dac_core.h"
#include "adc_interrupt.h"
#include "SD_card.h"
#endif

因此,在使用时需要根据情况在程序最开始对一些参数进行宏定义,
使用ZC702需要添加语句:
#define XILINX_PLATFORM
如需使用命令行控制AD9361,需要添加语句:
#define CONSOLE_COMMANDS
如需使用ADC的数据捕获功能,需要添加语句:
#define CAPTURE_SCRIPT

然后是命令行函数所用到的一些变量的定义、对AD9361初始化所需要参数的变量定义和AD9361接收和发射端滤波器的定义。

AD9361_InitParam default_init_param = {
    
  /* Identification number */
  0,    //id_no;
  /* Reference Clock */
  40000000UL, //reference_clk_rate
  /* Base Configuration */
  0,    //two_rx_two_tx_mode_enable *** adi,2rx-2tx-mode-enable
  1,    //one_rx_one_tx_mode_use_rx_num *** adi,1rx-1tx-mode-use-rx-num
  1,    //one_rx_one_tx_mode_use_tx_num *** adi,1rx-1tx-mode-use-tx-num
  1,    //frequency_division_duplex_mode_enable *** adi,frequency-division-duplex-mode-enable
  0,    //frequency_division_duplex_independent_mode_enable *** adi,frequency-division-duplex-independent-mode-enable
  0,    //tdd_use_dual_synth_mode_enable *** adi,tdd-use-dual-synth-mode-enable
  0,    //tdd_skip_vco_cal_enable *** adi,tdd-skip-vco-cal-enable
  0,    //tx_fastlock_delay_ns *** adi,tx-fastlock-delay-ns
  0,    //rx_fastlock_delay_ns *** adi,rx-fastlock-delay-ns
  0,    //rx_fastlock_pincontrol_enable *** adi,rx-fastlock-pincontrol-enable
  0,    //tx_fastlock_pincontrol_enable *** adi,tx-fastlock-pincontrol-enable
  0,    //external_rx_lo_enable *** adi,external-rx-lo-enable
  0,    //external_tx_lo_enable *** adi,external-tx-lo-enable
  5,    //dc_offset_tracking_update_event_mask *** adi,dc-offset-tracking-update-event-mask
  6,    //dc_offset_attenuation_high_range *** adi,dc-offset-attenuation-high-range

之后的部分是整个软件部分的主函数,主函数的程序流程图如图 6所示(默认定义了XILINX_PLATFORM常量):main流程图.vsdx

图 9 main函数流程图
main
DAC模块初始化使用的函数为dac_init函数

ADC数据捕获使用到的函数为adc_capture函数
这两个函数是控制数据传输的主要函数,下面的章节将会详细介绍这两个函数。

5.4 dac_init函数

dac_init为DAC模块初始化函数,也负责DMA传输部分,将DDR中的数据送给AD9361。
dac_init函数的函数声明为:

第一个参数 struct ad9361_rf_phy *phy 为指向AD9361的射频设备结构体的指针。
第二个参数 uint8_t data_sel为 需要发送的数据源的选择:

enum dds_data_select 
{
    
  DATA_SEL_DDS,
  DATA_SEL_SED,
  DATA_SEL_DMA,
  DATA_SEL_ZERO,  /* OUTPUT 0 */
  DATA_SEL_PN7,
  DATA_SEL_PN15,
  DATA_SEL_PN23,
  DATA_SEL_PN31,
  DATA_SEL_LB,  /* loopback data (ADC) */
  DATA_SEL_PNXX,  /* (Device specific) */
  USER_DATA,
};

0表示发送DDS生成的信号;
2表示通过DMA发送DDR中的信号数据,该数据在dac_core.c开头定义;
3表示发送全0信号;
4-7表示发送随机数信号;
8表示发送从ADC中接收到的数据信号;
9表示发送选定设备的信号;
10为用户数据(需要在函数中添加代码)
第三个参数为DMA设置的标准位,0表示设置;1表示不设置。

下图为dac_init函数的流程图:dac_init流程图.vsdx
图 10 dac_init函数流程图

其中需要重点关注配置DAC的DMAC的部分(橙色部分),配置DMAC的流程图如图 8所示:

图 11 dac DMAC部分流程图
源代码如下:

dac_dma_write(AXI_DMAC_REG_CTRL, 0);//初始化DMA
dac_dma_write(AXI_DMAC_REG_CTRL, AXI_DMAC_CTRL_ENABLE);//DMA通道使能
dac_dma_write(AXI_DMAC_REG_SRC_ADDRESS, DAC_DDR_BASEADDR);//设置DMA的传输源地址为DDR的基地址
dac_dma_write(AXI_DMAC_REG_SRC_STRIDE, 0x0);
dac_dma_write(AXI_DMAC_REG_X_LENGTH, length - 1);//Number of bytes to transfer - 1
dac_dma_write(AXI_DMAC_REG_Y_LENGTH, 0x0);       //Number of rows to transfer - 1
dac_dma_write(AXI_DMAC_REG_START_TRANSFER, 0x1); //向传输队列中加入传输请求

dac_dma_write函数的作用是向选定地址(第一个参数)中写入数值(第二个参数)
以上这些宏定义都是DMAC的寄存器地址:

AXI_DMAC_REG_CTRL:DMAC总控制寄存器,两位00表示关闭DMA通道;01表示使能DMA通道;
AXI_DMAC_REG_SRC_ADDRESS:传输源地址寄存器,内容为DMA传输的源地址(即数据所在地址);
AXI_DMAC_REG_SRC_STRIDE:传输每行的字节数;
AXI_DMAC_REG_X_LENGTH:传输数据的总字节数;
AXI_DMAC_REG_Y_LENGTH:传输数据的行数;
AXI_DMAC_REG_START_TRANSFER:传输开始寄存器,写入1会将新的传输加入传输队列;
关于这些寄存器和其完整的说明在HDL Core RegMap.pdf

DMA传输支持2维传输(即按行列传输),但是目前只需要一维传输,因此,在源代码里向AXI_DMAC_REG_SRC_ADDRESS和AXI_DMAC_REG_Y_LENGTH写入0表示只使用一维传输。

在传输用户数据时,也应该在将用户数据进行调制后,参照以上代码,使用DMA方式发送数据

5.5 adc_capture函数

adc_capture函数为数据捕获函数,也负责DMA传输数据到	DDR

adc_init函数的函数声明为:

第一个参数size为要捕获的数据量(个);
第二个参数为start_adress存储捕获数据的目的地址。

图 9为dac_init函数的流程图:

图 12 adc_captur函数流程图

源代码如下:

int32_t adc_capture(uint32_t size, uint32_t start_address)
{
    
  uint32_t reg_val;
  if(adc_st.rx2tx2)
  {
    
    length = (size * 8);
  }
  else
  {
    
    length = (size * 4);
  }

上图的源码为adc_capture函数的第一部分——数据单位转换部分,adc_capture函数的第一个参数size为用户想要捕获到的数据量,单位是“个”,但是在DMAC的很多寄存器中,比如AXI_DMAC_REG_X_LENGTH寄存器,其中的数值为传输的数据的总字节数,单位为“字节”,因此需要将size单位转换为“字节”。如果打开了双通道,那么捕获的数据数据会占用双倍的存储空间。

adc_dma_write(AXI_DMAC_REG_CTRL, 0x0); //初始化DMA通道
adc_dma_write(AXI_DMAC_REG_CTRL, AXI_DMAC_CTRL_ENABLE);//DMA通道使能

adc_dma_write(AXI_DMAC_REG_IRQ_MASK, 0x0);//取消屏蔽.

//adc_dma_read(AXI_DMAC_REG_TRANSFER_ID, &transfer_id);//读取下一个传输的ID号(5位)

adc_dma_read(AXI_DMAC_REG_IRQ_PENDING, &reg_val);    /*读取中断状态:一个传输完成后 END_OF_TRANSFER 即 [1]位 置 1,
                                                一个传输加入队列后 START_OF_TRANSFER 即 [0]位 置 1 */

adc_dma_write(AXI_DMAC_REG_IRQ_PENDING, reg_val);//写入中断状态寄存器,使中断寄存器初始化

adc_dma_write(AXI_DMAC_REG_DEST_STRIDE, 0x0);//设置目的地址中从一行的开始和下一行之间的字节数
adc_dma_write(AXI_DMAC_REG_X_LENGTH, length - 1);//传输的字节数
adc_dma_write(AXI_DMAC_REG_Y_LENGTH, 0x0);//传输的行数


adc_dma_write(AXI_DMAC_REG_DEST_ADDRESS, start_address); //设置传输的目的地址(destination address)
adc_dma_write(AXI_DMAC_REG_START_TRANSFER, 0x1);//加入传输队列

上图为adc_capture函数的第二部分——DMA配置部分,其中与ADC模块相比不同的寄存器为:
AXI_DMAC_REG_IRQ_MASK:中断屏蔽寄存器,[1]位为EOT(End Of Transfer)IRQ,[0]位为SOT(Start Of Transfer) IRQ,哪一位置1,就表示那一位的中断请求被屏蔽;
AXI_DMAC_REG_IRQ_PENDING:读取中断状态:一个传输完成后 END_OF_TRANSFER 即 [1] 位 置 1,一个传输加入队列后 START_OF_TRANSFER 即 [0] 位 置 1 */
AXI_DMAC_REG_TRANSFER_ID:该寄存器的数值为下一次传输的ID号。

   //Wait until the new transfer is queued.
  do
  {
    
    adc_dma_read(AXI_DMAC_REG_START_TRANSFER, &reg_val);
  }
  while(reg_val == 1);*/

  // Wait until the current transfer is completed.
do
  {
    
    adc_dma_read(AXI_DMAC_REG_IRQ_PENDING, &reg_val);
  }
  while(1);//reg_val !=0011b*/

  //Wait until the transfer with the ID transfer_id is completed.
do
  {
    
    adc_dma_read(AXI_DMAC_REG_TRANSFER_DONE, &reg_val); //读取传输完成的ID号
   }
  while((reg_val & (1 << transfer_id)) != (1 << transfer_id));
上图为上图为adc_capture函数的第三部分——判断与等待

1.等待,直到一个新的传输加入传输队列

读取AXI_DMAC_REG_START_TRANSFER寄存器的值,值为1时循环,值为0时跳出循环。
之前已经向AXI_DMAC_REG_START_TRANSFER写入了1,在这时判断AXI_DMAC_REG_START_TRANSFER的值,若是1,表示新的传输仍然在排队,若是0,表示新的传输已经开始。

2.等待,直到目前的传输完成。

读取AXI_DMAC_REG_IRQ_PENDING的值,当传输进行时,AXI_DMAC_REG_IRQ_PENDING的[0]位SOT位始终为1,当传输完成时,[1]为EOT位由0置为1,之后两位都会被清0。因此,当AXI_DMAC_REG_IRQ_PENDING的值为3时,表示传输完成。

3.等待,直到ID为transfer_id的传输完成

这一步是为了验证之前设置的传输已经完成。

MCU如何配置AD9361请参考该文章

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/DF_55555555/article/details/103468975

智能推荐

Spring Boot 获取 bean 的 3 种方式!还有谁不会?,Java面试官_springboot2.7获取bean-程序员宅基地

文章浏览阅读1.2k次,点赞35次,收藏18次。AutowiredPostConstruct 注释用于在依赖关系注入完成之后需要执行的方法上,以执行任何初始化。此方法必须在将类放入服务之前调用。支持依赖关系注入的所有类都必须支持此注释。即使类没有请求注入任何资源,用 PostConstruct 注释的方法也必须被调用。只有一个方法可以用此注释进行注释。_springboot2.7获取bean

Logistic Regression Java程序_logisticregression java-程序员宅基地

文章浏览阅读2.1k次。理论介绍 节点定义package logistic;public class Instance { public int label; public double[] x; public Instance(){} public Instance(int label,double[] x){ this.label = label; th_logisticregression java

linux文件误删除该如何恢复?,2024年最新Linux运维开发知识点-程序员宅基地

文章浏览阅读981次,点赞21次,收藏18次。本书是获得了很多读者好评的Linux经典畅销书**《Linux从入门到精通》的第2版**。下面我们来进行文件的恢复,执行下文中的lsof命令,在其返回结果中我们可以看到test-recovery.txt (deleted)被删除了,但是其存在一个进程tail使用它,tail进程的进程编号是1535。我们看到文件名为3的文件,就是我们刚刚“误删除”的文件,所以我们使用下面的cp命令把它恢复回去。命令进入该进程的文件目录下,1535是tail进程的进程id,这个文件目录里包含了若干该进程正在打开使用的文件。

流媒体协议之RTMP详解-程序员宅基地

文章浏览阅读10w+次,点赞12次,收藏72次。RTMP(Real Time Messaging Protocol)实时消息传输协议是Adobe公司提出得一种媒体流传输协议,其提供了一个双向得通道消息服务,意图在通信端之间传递带有时间信息得视频、音频和数据消息流,其通过对不同类型得消息分配不同得优先级,进而在网传能力限制下确定各种消息得传输次序。_rtmp

微型计算机2017年12月下,2017年12月计算机一级MSOffice考试习题(二)-程序员宅基地

文章浏览阅读64次。2017年12月的计算机等级考试将要来临!出国留学网为考生们整理了2017年12月计算机一级MSOffice考试习题,希望能帮到大家,想了解更多计算机等级考试消息,请关注我们,我们会第一时间更新。2017年12月计算机一级MSOffice考试习题(二)一、单选题1). 计算机最主要的工作特点是( )。A.存储程序与自动控制B.高速度与高精度C.可靠性与可用性D.有记忆能力正确答案:A答案解析:计算...

20210415web渗透学习之Mysqludf提权(二)(胃肠炎住院期间转)_the provided input file '/usr/share/metasploit-fra-程序员宅基地

文章浏览阅读356次。在学MYSQL的时候刚刚好看到了这个提权,很久之前用过别人现成的,但是一直时间没去细想, 这次就自己复现学习下。 0x00 UDF 什么是UDF? UDF (user defined function),即用户自定义函数。是通过添加新函数,对MySQL的功能进行扩充,就像使..._the provided input file '/usr/share/metasploit-framework/data/exploits/mysql

随便推点

webService详细-程序员宅基地

文章浏览阅读3.1w次,点赞71次,收藏485次。webService一 WebService概述1.1 WebService是什么WebService是一种跨编程语言和跨操作系统平台的远程调用技术。Web service是一个平台独立的,低耦合的,自包含的、基于可编程的web的应用程序,可使用开放的XML(标准通用标记语言下的一个子集)标准...

Retrofit(2.0)入门小错误 -- Could not locate ResponseBody xxx Tried: * retrofit.BuiltInConverters_已添加addconverterfactory 但是 could not locate respons-程序员宅基地

文章浏览阅读1w次。前言照例给出官网:Retrofit官网其实大家学习的时候,完全可以按照官网Introduction,自己写一个例子来运行。但是百密一疏,官网可能忘记添加了一句非常重要的话,导致你可能出现如下错误:Could not locate ResponseBody converter错误信息:Caused by: java.lang.IllegalArgumentException: Could not l_已添加addconverterfactory 但是 could not locate responsebody converter

一套键鼠控制Windows+Linux——Synergy在Windows10和Ubuntu18.04共控的实践_linux 18.04 synergy-程序员宅基地

文章浏览阅读1k次。一套键鼠控制Windows+Linux——Synergy在Windows10和Ubuntu18.04共控的实践Synergy简介准备工作(重要)Windows服务端配置Ubuntu客户端配置配置开机启动Synergy简介Synergy能够通过IP地址实现一套键鼠对多系统、多终端进行控制,免去了对不同终端操作时频繁切换键鼠的麻烦,可跨平台使用,拥有Linux、MacOS、Windows多个版本。Synergy应用分服务端和客户端,服务端即主控端,Synergy会共享连接服务端的键鼠给客户端终端使用。本文_linux 18.04 synergy

nacos集成seata1.4.0注意事项_seata1.4.0 +nacos 集成-程序员宅基地

文章浏览阅读374次。写demo的时候遇到了很多问题,记录一下。安装nacos1.4.0配置mysql数据库,新建nacos_config数据库,并根据初始化脚本新建表,使配置从数据库读取,可单机模式启动也可以集群模式启动,启动时 ./start.sh -m standaloneapplication.properties 主要是db部分配置## Copyright 1999-2018 Alibaba Group Holding Ltd.## Licensed under the Apache License,_seata1.4.0 +nacos 集成

iperf3常用_iperf客户端指定ip地址-程序员宅基地

文章浏览阅读833次。iperf使用方法详解 iperf3是一款带宽测试工具,它支持调节各种参数,比如通信协议,数据包个数,发送持续时间,测试完会报告网络带宽,丢包率和其他参数。 安装 sudo apt-get install iperf3 iPerf3常用的参数: -c :指定客户端模式。例如:iperf3 -c 192.168.1.100。这将使用客户端模式连接到IP地址为192.16..._iperf客户端指定ip地址

浮点性(float)转化为字符串类型 自定义实现和深入探讨C++内部实现方法_c++浮点数 转 字符串 精度损失最小-程序员宅基地

文章浏览阅读7.4k次。 写这个函数目的不是为了和C/C++库中的函数在性能和安全性上一比高低,只是为了给那些喜欢探讨函数内部实现的网友,提供一种从浮点性到字符串转换的一种途径。 浮点数是有精度限制的,所以即使我们在使用C/C++中的sprintf或者cout 限制,当然这个精度限制是可以修改的。比方在C++中,我们可以cout.precision(10),不过这样设置的整个输出字符长度为10,而不是特定的小数点后1_c++浮点数 转 字符串 精度损失最小

推荐文章

热门文章

相关标签